HI,欢迎来到学术之家股权代码  102064
0
首页 精品范文 集成电路布图设计

集成电路布图设计

时间:2022-03-29 05:11:42

开篇:写作不仅是一种记录,更是一种创造,它让我们能够捕捉那些稍纵即逝的灵感,将它们永久地定格在纸上。下面是小编精心整理的12篇集成电路布图设计,希望这些内容能成为您创作过程中的良师益友,陪伴您不断探索和进步。

集成电路布图设计

第1篇

关键词:集成电路布图设计;知识;保护

前言:

当今世界,随着科学技术的迅速发展,电子科技迎来了蓬勃的发展机遇,在短短的几十年时间内,电子行业发展到了一个前所未有的高度。尤其是计算机行业,更是电子行业中的领导者。但是,在这些电子行业中,最离不开的,便是集成电路系统,即集成电路系统行业的发展影响着电子行业的发展。由于集成电路产业的迅速发展,在其知识产权保护方面存在的问题也逐渐的暴露了出来。本文便着重于集成电路布局设计的知识及知识产权保护方面进行研究,从而为我国的集成电路事业的健康发展指出一条清晰明确的道路,顺应时展的潮流。

1 集成电路布图设计概述

1.1 集成电路布图设计的概念

集成电路系统的基础是半导体,即由半导体材料作为集成电路的基本元件,经由多个元件进行合并连接,共同置于由半导体组成的基片上,最终组装好的集成电路在电子器械或电子系统中控制电流,进而发挥其电子功能的部件。在计算机技术并不发达的初级阶段,由于材料学以及电子工程学的发展比较落后,使得计算机内部的电子元件是经由导线进行彼此之间的连接,这种搭设方式不但增加了电流流动的时间,减缓了信息传输的速度,还极大的增加了计算机内部的集成电路所占用的空间,使得计算机的体积极大,且信息处理缓慢,功能缺乏。但随着时代的发展,材料科学的不断进步,人们找到了良好的电子材料进行集成电路的搭建,因此,在集成电路的布局设计上能否取得进步便成为了计算机事业能否发展的关键所在。所谓的计算机部件设计,是经由软件或者图纸进行电路布局的3D模型规划,其就与土木工程中的建筑设计图纸相似,能够为产品的制造进行技术支持与步骤提供。可以说,集成电路布局设计在集成电路发展事业中所占的位置是最重要的,且在资金的投入上也是最高的。通常需要巨大的资金投入与人才投入才能设计出合理的集成电路布局。

1.2 集成电路布图设计的基本特征

集成电路布局设计的基本特征可以大体分为三个方面,依次为无形性,复制性以及表现形式的非任意性。在无形性上,由于计算机中的集成电路布局是由专业技术人员进行的智慧创造,仅仅能记录在图纸上以及电子储存设备中。可以说,这种思维创作的智慧结晶仅能通过有限的载体进行反映,进而被人了解知晓。这些都是集成电路布局设计的无形性的体现。在复制性上的体现更为明显,当集成电路的布局设计储存在电子储存设备当中时,通过计算机中的软件便可进行信息的复制,从而使得集成电路的布局设计被复制为多份。当不具备集成电路的布局规划信息与图纸时,想要了解某一电子设备中的集成电路布局状况,可以对该电子设备进行拆分处理,将内部的集成电路暴露出来,通过照相仪器或扫描仪器进行内部布局信息采集,便可以采集到集成电路的布局信息。这种信息的采集可以极大的降低集成电路设计者的工作难度与工作量。在表现形式的非任意性上,集成电路在原材料的使用,元件的基本参数,工艺技术要求等等方面都有极其严格的要求。在技术规范与原则上也有一定的套路,因此说,在集成电路的表现形式上,其具有非任意性。

1.3 以电磁炉为例的集成电路

此处以电磁炉的集成电路为例进行简单分析。SM16312集成电路主要控制电磁炉中的显示屏部分。通过中央处理器的控制将电信号转化为数据信号,进行编码转化显示在显示屏当中。且当电磁炉的集成电路出现问题进行更换时,需要注意的问题更多,首先便要保证维修环境的整洁,防止环境中污染物的影响使得电磁炉的显示屏部位出现问题。由集成电路控制的显示屏灯管比较脆弱,电路维修时操作手段的不当会使得灯管破碎或传输导线的断裂。进行导线焊接时,时间不可过长,否则容易导致电路控制的显示屏部位完全损坏。

2 集成电路布图设计的知识保护

2.1 对集成电路布图设计进行保护的意义

之所以对于集成电路布局设计进行保护,是因为布图设计是脑力劳动者脑力创作的成果与智慧的结晶。集成电路布图属于电子产业中专业要求较高的行业,如果不具备高端的专业知识与专业素养就无法进行集成电路的布图设计。在设计者进行布图设计的过程中,设计人员要对电路中的各个元件有详细而充分的了解,在进行布图设计时,既要考虑到固有的一些设计规定与功能布局,还要充分发挥设计者的创造力,只有将这两点进行有机的结合,才能够创造出优秀的集成电路布图。由于电路布图的这种设计是一种无形的资产,只能通过有形的载体进行信息承载才能够被人们了解。所以要对这种无形的设计进行产权保护,才能够在最大程度上保证布图设计者的权益不受到侵害。在创造性与实用性上,由于集成电路的布图需要脑力的劳动,一旦创造出独特的且信息处理迅速的电路布图设计则会产生巨大的经济效益,且有可能会对电子行业的进步与革新产生较大的影响,因此需要进行知识产权保护。

2.2 集成电路布图设计保护模式选择

对集成电路布图设计进行保护,就需要依靠法律的力量。国家制定了相应的《关于保护集成电路知识产权条约》。其中对于集成电路的保护就有明确的规定,既要求布局设计自身是由设计者自身进行独立的思维创造或与其他人共同合作进行创造进而得到的成果。对于那些根据别人的集成电路布局设计进行模仿或复制的布局设计,不但不对其进行法律保护,还要追究其法律责任。由于集成电路布图设计涉及到原创性,创造性与新颖性这三个方面,因此,知识产权在对其进行保护时,既要保护到成果作品自身,还要对其中蕴含的创新点与思维创造部分进行保护,这有这样,才能对与集成电路布图设计进行充分的保护,进而保护设计者的智力成果与财产安全。

2.3 集成电路布图设计专有权设计

对于集成电路布图设计的专有权进行保护,需要对主体,客体以及内容这三方面进行保护。在主体保护方面,涉及到布图设计的设计者,这既包括设计者自身与在思维创造过程中一同参与的合作者,还包括布图设计的相关法人与组织,另外,相关的可以享受该成果的权利委托人也是保护主体之一。而保护的客体,指的则是设计者创造出的具备思维创造性的布图设计。对于集成电路布图设计的内容保护既是对于设计专有权的具体权能进行保护。具体包括有复制权,商业利用权。

3 结语

当今世界,随着科学技术的迅速发展,电子科技迎来了蓬勃的发展机遇,在短短的几十年时间内,电子行业发展到了一个前所未有的高度。集成电路是以半导体材料为基础的,由多个元件进行线路连接,设置在基片之上,以达到一定功能的电子产品。本文通过对集成电路布图设计进行概述,并对集成电路布图设计的知识保护进行分析,从而促进我国的集成电路事业的发展,使我国的电子产业赶上时代潮流。

参考文献

[1]蒋黎.集成电路布图设计法律保护研究[D].吉林大学,2013.

第2篇

关键词:集成电路;布图设计;保护

现代信息技术以计算机技术为基础,分为软件技术和硬件技术。在硬件技术中,集成电路技术则是最为重要的核心技术。早在20世纪70年代末,美国就曾有人断言:"像现在OPEC(石油输出国组织)左右世界一样,将来掌握了半导体技术的国家将左右整个世界。"正因为如此,各国对于集成电路的开发都给予了足够的重视。但与此同时,也有一些厂商采取非法手段获取他人技术秘密或者仿制他人产品,以牟取暴利。我国政府曾积极参与起草世界知识产权组织《关于集成电路的知识产权条约》(以下简称条约),并努力促成了该条约通过。中国加入世界贸易组织后,《与贸易有关的知识产权协议》(以下简称TRIPS)就对中国有了约束力,其中也包括集成电路知识产权的法律保护。

一、条约的主要内容

1、保护对象

保护对象为集成电路布图设计。受保护的布图设计必须具备原创性。条约中所规定的原创性不同于著作权法中的原创性,条约就此作了专门解释。具有原创性的布图设计,即"该布图设计是创作者自己的智力劳动成果,并且在其创作时在布图设计的创作者和集成电路制造者中不是常规设计"。

2、布图设计权利人的有关权利

(1)复制权

复制受保护的布图设计的全部或其任何部分,无论是否将其结合到集成电路中。

(2)进口、销售或者以其它方式供销

为商业目的进口、销售或者以其它方式供销受保护的布图设计或者其中含有受保护的布图设计的集成电路。

3、布图设计权利人的有关权利的限制

(1)合理使用

为私人目的或为了分析、评价、研究或者教学而复制受保护的布图设计,或者在此基础上创作出新的具有原创性的布图设计的行为不视为侵权,也不需要权利人许可。

(2)反向工程

第三者在评价或分析受保护的布图设计的基础上,创作符合第三条第(二)款规定的原创性条件的布图设计(拓朴图)("第二布图设计(拓朴图"))的,该第三者可以在集成电路中采用第二布图设计(拓朴图),或者对第二布图设计(拓朴图)进行第(一)款所述的行为,而不视为侵犯第一布图设计(拓朴图)权利持有人的权利。

(3)非自愿许可

《关于集成电路知识产权条约》规定,任何缔约方均可在其立法中规定其行政或者司法机关有可能在非通常的情况下,对于第三者按商业惯例经过努力而未能取得权利持有人许可并不经其许可而进行复制、进口、销售等行为,授予非独占许可(非自愿许可)。

(4)善意侵权

《条约》规定,对于采用非法复制的布图设计(拓扑图)的集成电路而进行的该款所述的任何行为,如果进行或者指示进行该行为的人在获得该集成电路时不知道或者没有合理的依据知道该集成电路包含有非法复制的布图设计(拓扑图),任何缔约方没有义务认为上述行为是非法行为。

(5)权利用尽

《条约》的权利用尽条款规定,任何缔约方可以认为,对由权利持有人或者经其同意投放市场的受保护的布图设计(拓扑图)或者采用该布图设计(拓扑图)的集成电路,未经权利持有人的许可而进行该款所述的任何行为是合法行为。

4、国民待遇原则

即任何一个缔约国在布图设计的知识产权保护方面给予与国国民待遇,也同样给予其他缔约国的国民。

5、布图设计保护期限

条约规定保护集成电路布图设计的最低期限为8年。

6、保护形式

缔约国可以通过专门法律或者通过关于著作权法、专利法,禁止不正当竞争的法律,或者通过上述法律的结合来保护集成电路布图设计。

7、争议的解决

通过协商或者其他方式使有争议的缔约国之间达成和解,若不能和解,则由缔约国大会召集专家小组,由该小组起草解决争议的参考性报告,大会基于小组报告和对条约的解释,向争议各方提出建议。

8、保留

条约第13条规定:对本条约不得做任何保留。

二、TRIPS有关集成电路布图设计的规定

与条约相比,TRIPS对集成电路布图设计的保护更加严格,主要表现在以下几个方面:

1、保护范围扩大

缔约方应将未经权利人同意而进行的下述行为认作是非法行为 ,即为了商业目的而进口、出售、或销售受到保护的布图设计,一种采用了受到保护的布图设计的集成电路,或者一种采用了上述集成电路的产品,只要它仍然包括一个非法复制的布图设计。

2、善意侵权要付费

善意侵权人接到足够清楚的通知,被告知该布图设计是非法复制的之后,侵权人对于在此之前已经获得的库存件或预定件可以进行上述行为中的任何一种,但是却有义务向权利所有者支付一定的费用。

3、保护期限延长

布图设计的保护期限不得短于自注册申请日起或者自在世界上任何地方进行的首次商业性使用之日起的10年。

如果缔约方不要求以注册作为提供保护的条件,对布图设计的保护期限不得短于自在世界上任何地方进行的首次商业性使用之日起的10年。

三、集成电路布图设计不能用专利法、著作权法保护的原因

1、集成电路布图设计不能用专利法保护的原因

无论在哪个国家,其专利法都要求受保护的技术方案必须具备实用性、新颖性和创造性。集成电路产品对于实用性和新颖性要求都不会有太大问题,问题的症结在于创造性。

(1)集成电路的制造者和使用者,在通常情况下最为关心的是集成电路的集成度或者集成规模的大小,如果就这种产品作为一个整体去申请专利,未必都能通过创造性审查。

(2)在集成电路设计中常常采用一些现成的单元电路进行组合。而在专利审查中,组合发明要通过创造性审查,必须取得对该发明创造所属技术领域的普通技术人员来说是预先难以想到的效果。

确实具备创造性的集成电路产品仍可申请专利以寻求保护。

2、集成电路布图设计不能用著作权法保护的原因

用著作权法保护集成的电路布图设计的难度有:

(1)集成电路布图设计的价值主要体现在实用功能上,这已超出著作权法所保护的范围。

(2)著作权法对所保护的对象没有新颖性和创造性要求,这种保护模式不利于技术进步和创新。

(3)依照著作权法,实施"反向工程"的行为将被禁止。未经著作权人同意,任何人不得随意复制他人作品。

3、集成电路布图设计不能用其它知识产权法保护的原因

在现有的知识产权法框架中,还有实用新型法、外观设计法、商标法、反不正当竞争法、商号或企业名称保护法、原产地名称保护法等,在现有的诸多知识产权法律门类中,实用新型法虽然是保护技术产品的法律,但是绝大多数国家和地区(法国、澳大利亚等国除外)的法律都要求受保护的实用新型都必须是具备固定形状或者结构的产品;有的还要求实用新型也必须具备创造性。而集成电路产品的创新点往往并不体现在产品的外在结构和形状上,故从总体上看实用新型法似乎并不适合集成电路的保护。

外观设计法所保护的是产品的新颖外观。外观设计法的保护对象决无任何技术成分可言。

商标法所保护的只是特定标记与特定产品间的联系。很显然这不是集成电路保护所讨论的问题。对于集成电路而言,权利人还可将其商标使用在布图设计上。

参考文献:

[1]世界知识产权组织.知识产权纵横谈[M].北京:世界知识出版社,1992.

[2]吴汉东.知识产权法[M].北京:北京大学出版社,2002.

[3]刘月娥,刘曼朗.市场经济与知识产权保护[M].北京:专利文献出版社,1995.

[4]争成思.知识产权论[M].北京:法律出版社,2003.

第3篇

【关键词】集成电路 设计方法 IP技术

基于CMOS工艺发展背景下,CMOS集成电路得到了广泛应用,即到目前为止,仍有95%集成电路融入了CMOS工艺技术,但基于64kb动态存储器的发展,集成电路微小化设计逐渐引起了人们关注。因而在此基础上,为了迎合集成电路时代的发展,应注重在当前集成电路设计过程中从微电路、芯片等角度入手,对集成电路进行改善与优化,且突出小型化设计优势。以下就是对集成电路设计与IP设计技术的详细阐述,望其能为当前集成电路设计领域的发展提供参考。

1 当前集成电路设计方法

1.1 全定制设计方法

集成电路,即通过光刻、扩散、氧化等作业方法,将半导体、电阻、电容、电感等元器件集中于一块小硅片,置入管壳内,应用于网络通信、计算机、电子技术等领域中。而在集成电路设计过程中,为了营造良好的电路设计空间,应注重强调对全定制设计方法的应用,即在集成电路实践设计环节开展过程中通过版图编辑工具,对半导体元器件图形、尺寸、连线、位置等各个设计环节进行把控,最终通过版图布局、布线等,达到元器件组合、优化目的。同时,在元器件电路参数优化过程中,为了满足小型化集成电路应用需求,应遵从“自由格式”版图设计原则,且以紧凑的设计方法,对每个元器件所连导线进行布局,就此将芯片尺寸控制到最小状态下。例如,随机逻辑网络在设计过程中,为了提高网络运行速度,即采取全定制集成电路设计方法,满足了网络平台运行需求。但由于全定制设计方法在实施过程中,设计周期较长,为此,应注重对其的合理化应用。

1.2 半定制设计方法

半定制设计方法在应用过程中需借助原有的单元电路,同时注重在集成电路优化过程中,从单元库内选取适宜的电压或压焊块,以自动化方式对集成电路进行布局、布线,且获取掩膜版图。例如,专用集成电路ASIC在设计过程中为了减少成本投入量,即采用了半定制设计方法,同时注重在半定制设计方式应用过程中融入门阵列设计理念,即将若干个器件进行排序,且排列为门阵列形式,继而通过导线连接形式形成统一的电路单元,并保障各单元间的一致性。而在半定制集成电路设计过程中,亦可采取标准单元设计方式,即要求相关技术人员在集成电路设计过程中应运用版图编辑工具对集成电路进行操控,同时结合电路单元版图,连接、布局集成电路运作环境,达到布通率100%的集成电路设计状态。从以上的分析中即可看出,在小型化集成电路设计过程中,强调对半定制设计方法的应用,有助于缩短设计周期,为此,应提高对其的重视程度。

1.3 基于IP的设计方法

基于0.35μmCMOS工艺的推动下,传统的集成电路设计方式已经无法满足计算机、网络通讯等领域集成电路应用需求,因而在此基础上,为了推动各领域产业的进一步发展,应注重融入IP设计方法,即在集成电路设计过程中将“设计复用与软硬件协同”作为导向,开发单一模块,并集成、复用IP,就此将集成电路工作量控制到原有1/10,而工作效益提升10倍。但基于IP视角下,在集成电路设计过程中,要求相关工作人员应注重通过专业IP公司、Foundry积累、EDA厂商等路径获取IP核,且基于IP核支撑资源获取的基础上,完善检索系统、开发库管理系统、IP核库等,最终对1700多个IP核资源进行系统化整理,并通过VSIA标准评估方式,对IP核集成电路运行环境的安全性、动态性进行质量检测、评估,规避集成电路故障问题的凸显,且达到最佳的集成电路设计状态。另外,在IP集成电路设计过程中,亦应注重增设HDL代码等检测功能,从而满足集成电路设计要求,达到最佳的设计状态,且更好的应用于计算机、网络通讯等领域中。

2 集成电路设计中IP设计技术分析

基于IP的设计技术,主要分为软核、硬核、固核三种设计方式,同时在IP系统规划过程中,需完善32位处理器,同时融入微处理器、DSP等,继而应用于Internet、USB接口、微处理器核、UART等运作环境下。而IP设计技术在应用过程中对测试平台支撑条件提出了更高的要求,因而在IP设计环节开展过程中,应注重选用适宜的接口,寄存I/O,且以独立性IP模块设计方式,对芯片布局布线进行操控,简化集成电路整体设计过程。此外,在IP设计技术应用过程中,必须突出全面性特点,即从特性概述、框图、工作描述、版图信息、软模型/HDL模型等角度入手,推进IP文件化,最终实现对集成电路设计信息的全方位反馈。另外,就当前的现状来看,IP设计技术涵盖了ASIC测试、系统仿真、ASIC模拟、IP继承等设计环节,且制定了IP战略,因而有助于减少IP集成电路开发风险,为此,在当前集成电路设计工作开展过程中应融入IP设计技术,并建构AMBA总线等,打造良好的集成电路运行环境,强化整体电路集成度,达到最佳的电路布局、规划状态。

3 结论

综上可知,集成电路被广泛应用于计算机等产业发展领域,推进了社会的进步。为此,为了降低集成电路设计风险,减少开发经费,缩短开发时间,要求相关技术人员在集成电路设计工作开展过程中应注重强调对基于IP的设计方法、半定制设计方法、全定制设计方法等的应用,同时注重引入IP设计技术理念,完善ASIC模拟、系统测试等集成电路设计功能,最终就此规避电路开发中故障问题的凸显,达到最佳的集成电路开发、设计状态。

参考文献

[1]肖春花.集成电路设计方法及IP重用设计技术研究[J].电子技术与软件工程,2014,12(06):190-191.

[2]李群,樊丽春.基于IP技术的模拟集成电路设计研究[J].科技创新导报,2013,12(08):56-57.

[3]中国半导体行业协会关于举办“中国集成电路设计业2014年会暨中国内地与香港集成电路产业协作发展高峰论坛”的通知[J].中国集成电路,2014,20(10):90-92.

第4篇

甲乙双方为集成电路试制事宜,特立本合约,并同意条件如下:

第一条 :标的物:委托芯片名称_________(icno._________),甲方同意由乙方代寻适合之代工厂,就标的物进行集成电路试制。

第二条 :功能规格确认

一、甲方完成本设计案之各项设计及验证后,应将本产品之布图(layout)交由乙方进行集成电路制作之委托事宜。

二、甲方的布图(layout)资料,概以甲方填写之tapeoutform为依据,进行光罩制作。乙方不对甲方之布局图(layout)作任何计算机软件辅助验证。

三、标的物之样品验证系以乙方委托之晶圆代工厂标准的晶圆特性测试(wat)值为准,甲方不得作特殊要求。

四、如甲方能证明该样品系因乙方委托之代工厂制程上之误失,致不符合参数规格范围,虽通过代工厂标准的晶圆特性测试,仍视为不良品。

第三条 :样品试制进度

一、甲方须于委托制作申请单中注明申请梯次,若有一方要求变更制作梯次,需经双方事前书面同意后始可变更。

二、原案若有因不可归责乙方之事由或不可抗力之情事,致无法如期交货,乙方应于事由发生时,尽速通知甲方,由双方另行议定交货期限。

第四条 :样品之确认

一、样品之确认以第二条之第二及三款之规定为依据,甲方不得对电气特性提出额外的样品确认标准,若因甲方之布局图(layout)与tapeoutform不符,而致试制样品与甲方规格不符,因此所生损失概由甲方负责。

二、甲方应于收到标的物试制样品后肆拾伍日之内完成样品之测试。若该样品与甲方于委托制作申请单及tapeoutform中指定不符,且甲方能证明失败之样品是缘由制程之缺失所造成,甲方应于肆拾伍日之测试期限内以书面向乙方提出异议。如甲方未于此肆拾伍日之期限内向乙方提出异议,则视为样品已为甲方所确认。

三、乙方应于收到甲方所提之异议书拾伍个工作日内,将该异议交由第三公正单位评定。若甲方所提出之异议经评定,其系可归责予乙方时,乙方应要求代工厂重新制作样品。新样品之测试与确认,仍依本合约第二条第二、三及四款规定行之。除本项规定重新制作之外,甲方对乙方不得为任何其它赔偿之请求。

四、如新样品仍与甲方指定之规格不符,则甲方得要求终止合约。惟甲方不得向乙方索回已付予乙方之费用,且不得就本合约对乙方为任何损害赔偿请求,乙方亦不得向甲方请求任何除已付费用外之补偿。

第五条 :试制费用试制费用依乙方订定之计费标准为准。

第六条 :付款方式

一、甲方填送委托制作申请单、委托制作集成电路合约书及tapeoutform电子文件,连同拟下线的布局档案资料传送至乙方,并由乙方寄送芯片制作缴款通知函予甲方。

第5篇

人们观念中的山寨产品并不尊重知识产权,存在低价,质量低劣,只做到形似神不似,功能与正版差距很大的这些元素。事实上“山寨”一词并没有准确的定义,并经不起推敲。因此并不能将所有的反向工程后获得的模仿技术的行为归于此类。对外经贸大学国际经贸学院国际商务研究中心主任王健曾为“山寨”正名,他认为“山寨”产品只是一个噱头,仅仅是一种营销方式,仔细对比,很多被“山寨”的产品,与正版产品形似神不似,从软件硬件来看,均未侵犯知识产权,事实上很多“山寨”都不侵权。

反向工程的合法认定

反向工程的利用是不是构成侵权,浙江广诚律师事务所赵小雷律师就法理与实践的方面对此进行了分析。他认为,在自2007年2月1日起施行的《最高人民法院关于审理不正当竞争民事案件应用法律若干问题的解释》(以下简称《解释》)的第十二条,通过自行开发研制或者反向工程等方式获得的商业秘密,不认定为《反不正当竞争法》第十条第(一)、(二)项规定的侵犯商业秘密行为。前款所称“反向工程”,是指通过技术手段对从公开渠道取得的产品进行拆卸、测绘、分析等而获得该产品的有关技术信息。当事人以不正当手段知悉了他人的商业秘密之后,又以反向工程为由主张获取行为合法的,不予支持。

根据《反不正当竞争法》规定,商业秘密是指不为公众所知悉、能为权利人带来经济利益、具有实用性并经权利人采取保密措施的技术信息和经营信息。这里第一个构成要件就是“不为公众所知悉”。最高人民法院《关于审理不正当竞争民事案件应用法律若干问题的解释》(法释〔2007〕2号)规定,所谓“不为公众所知悉”是指有关信息不为其所属领域的相关人员普遍知悉和容易获得。但是具有下列情形之一的,可以认定有关信息不构成不为公众所知悉,也就是说这些信息已经为公众所知悉,不构成商业秘密:(一)该信息为其所属技术或者经济领域的人的一般常识或者行业惯例;(二)该信息仅涉及产品的尺寸、结构、材料、部件的简单组合等内容,进入市场后相关公众通过观察产品即可直接获得;(三)该信息已经在公开出版物或者其他媒体上公开披露;(四)该信息已通过公开的报告会、展览等方式公开;(五)该信息从其他公开渠道可以获得;(六)该信息无需付出一定的代价而容易获得。上述对此做出了规定,从法条上看如果通过正规途径运用反向工程获悉的商业秘密不属于侵犯商业秘密的行为。

另外通过正规途径运用反向工程获得的商业秘密运用到相关产品中不构成侵权,但其中有两点需要注意:第一,如果通过正规途径获取的是获得国家专利的商业秘密,按照法条获得国家专利的商业秘密也是商业秘密,所以也不构成侵犯商业秘密的行为,但按《专利法》第十一条:发明和实用新型专利权被授予后除本法另有规定的以外,任何单位或个人未经专利权人许可,都不得实施其专利,即不得为生产经营目的制造、使用、许诺销售、进口其专利产品或使用其专利方法以及使用许诺销售、销售、进口依照该专利方法直接获得的产品,外观设计专利被授予后,任何单位或者个人未经专利权人许可,都不得实施其专利,即不得为生产经营目的制造销售、进口其外观设计专利产品。因此,虽构不成侵犯商业秘密的行为,但如以生产经营为目的,将相关商业秘密应用到产品中去即违反《专利法》。所以结合《解释》和《专利法》可以理解为通过正规途径和反向工程获得的未获得专利的商业秘密并将以生产经营为目的应用相关商业秘密的行为是不合法的。

这里有一点需要强调的是《专利法》第五十条:一项取得专利权的发明或者实用新型比前已经取得专利权的发明或者实用新型具有显著经济意义的重大技术进步,其实施又有赖于前一发明或者实用新型的实施的,国务院专利行政部门根据后一专利权人的申请,可以给予实施前一发明或者使用新型的强制许可。在依照前款规定给予强制许可的情形下,国务院专利行政部门根据前一专利权人的申请,也可以给予实施后一发明或者实用新型的强制许可。《解释》第十二条可以说是对《专利法》第五十条的具体操作的规定,即是在未经专利权人的许可的情况下,第三人可以通过正规途径的反向工程获知专利技术的商业秘密,在此基础上去进行技术革新,如果这种技术革新具有显著经济意义的重大技术进步,法律即规定其合法性。所以我们在某种程度上可以理解《解释》第十二条与《专利法》第五十条存在着一定的稳定的必然关系。

第二,如果通过正规途径运用反向工程获取的是获得国家专利的外观设计专利权的产品商业秘密,通过对以上法条的解释,获得的商业秘密行为不是侵犯商业秘密的行为。《专利法》中对什么样的情况下构成侵犯外观设计专利权的规定也不是很明确。

一般可以认为,私权之间所形成的“禁止条款”与反向工程豁免公共政策相违背,构成商业秘密权利滥用,因此该禁止条款效力理应不予认可。换言之,在商业秘密法保护中,商业秘密权利人无权阻止社会公众通过反向工程这一正当手段对其商业秘密信息的获取(法律或者行政法规对于某些客体如计算机软件禁止反向工程的,依照有关法律或者行政法规的规定处理),除非技术权利人申请专利保护。当然,在适用反向工程豁免时,其中已知产品必须是以正当和诚实的方式获得的,例如从公开市场购买、公共领域获得,方可豁免。

反向工程知识产权诉讼的手段

反向工程推动技术的不断进步,技术进步又会促进反向工程,而作为知识产权的所有者,既要发展技术,利用反向工程,又要对其知识产权进行保护。因此对反向工程又有诸多的限制。

反向工程在司法解释中被定义为,通过技术手段对从公开渠道取得的产品进行折卸、测绘、分析等而获得该产品的有关技术信息。为避免该条款被滥用,司法解释同时规定:“当事人以不正当手段知悉了他人的商业秘密之后,又以反向工程为由主张获取行为合法的,不予支持。”

中国开源软件推进联盟专家委员会陈伟博士告诉本刊记者,就集成电路芯片而言,由于布图设计的全部图形分别存在于集成电路表面下不同深度处,所以实际中多采用逐层剥蚀,再用显微摄影技术将其拍摄下来,测出其尺寸即可复制出全套布图设计。反向工程的方法在集成电路工业的发展中起着巨大的作用,世界各国厂商无不采用这种方法来了解别人产品的发展,如果严格禁止这种行为,便会对集成电路技术的进步造成影响,所以各国在立法时都在一定条件下将此视为一种侵权的例外。为了教学、分析和评价布图设计中的概念、技术或者布图设计中采用的电路、逻辑结构、元件配置而复制布图设计以及在此基础上将分析评价结果应用于具有原创性的布图设计之中,并据此制造集成电路,均不视为侵权。但是,单纯地以经营销售为目的而复制他人受保护的布图设计而生产集成电路,应视为侵权行为。

据陈伟分析,计算机软件反向工程的合法性,一直是计算机软件知识产权保护中争议较大的问题。到目前为止,尚无任何国家在其软件保护法中允许对软件实施反向工程的行为。因为软件作为一种技术产品要考虑到产品的兼容性,所以绝对禁止反向工程行为可能影响软件技术的发展。

反向工程可能会被误认为是对知识产权的严重侵害,但是在实际应用上,反而可以成为知识产权所有者保护中的一把利剑。例如在集成电路领域和软件领域,如果怀疑某公司侵犯知识产权,可以利用反向工程技术来寻找证据。

第6篇

关键词:EDA技术 电子工程

1、EDA技术的基本概念

EDA是电子设计自动化(Electronic Design Automation)的缩写,是从CAD(计算机辅助设汁)、CAM(计算机辅助制造)、CAT(计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。EDA技术是以计算机为工具,集数据库、图形学、图论与拓扑逻辑,计算数学,优化理论等多学科最新理论于一体,是计算机信息技术、微电子技术、电路理论、信息分析与信号处理的结晶。

2 、EDA技术的发展过程

EDA技术的发展过程反映了近代电子产品设计技术的一段历史进程,大致分为3个时期。

1)初级阶段:早期阶段即是CAD(Computer Assist Design)阶段,大致在20世纪70年代,当时中小规模集成电路已经出现,传统的手工制图设计印刷电路板和集成电路的方法效率低、花费大、制造周期长。人们开始借助于计算机完成印制电路板-PCB设计,将产品设计过程中高重复性的繁杂劳动如布图布线工作用二维平砸图形编辑与分析的CAD工具代替,主要功能是交互图形编辑,设计规则检查,解决晶体管级版图设计.PCB布局布线、门级电路模拟和测试。

2)发展阶段:20世纪80年代是EDA技术的发展和完善阶段,即进入到CAE(Computer Assist Engineering Design)阶段。由于集成电路规模的逐步扩大和电子系统的日趋复杂,人们进一步开发设计软件,将各个CAD工具集成为系统,从而加强了电路功能设计和结构设计,该时期的EDA技术已经延伸到半导体芯片的设汁,生产出可编程半导体芯片。

3)成熟阶段:20世纪90年代以后微电子技术突飞猛进,一个芯片上可以集成几百万、几千万乃至上亿个晶体管,这给EDA技术提出了更高的要求,也促进了EDA技术的大发展。各公司相继开发出了大规模的EDA软件系统,这时出现了以高级语言描述、系统级仿真和综合技术为特征的EDA技术。

3、 EDA技术的特点

EDA技术代表了当今电子设计技术的最新发展方向,它的基本特征是采用高级语言描述,即硬件描述语言HDL(Hard ware Description Language),就是可以描述硬件电路的功能。信号连接关系及定时关系的语言。

1)现代化EDA技术大多采用“自顶向下(Top-Down)”的设计程序,从而确保设计方案整体的合理和优化,避免“自底向上(Bottom-up)”设计过程使局部优化,整体结构较差的缺陷。

2)HDL给设计带来很多优点:①语言公开可利用;⑦语言描述范围宽广;③使设计与工艺无关;④可以系统编程和现场编程,使设计便于交流、保存,修改和重复使用,能够实现在线升级。

3)自动化程度高,设计过程中随时可以进行各级的仿真、纠错和调试,使设计者能早期发现结构设计上的错误,避免设计工作的浪费,同时设计人员可以抛开一些具体细节问题,从而把主要精力集中在系统的开发上,保证设计的高效率、低成本,且产品开发周期短、循环快。

4)可以并行操作,现代EDA技术建立了并行工程框架结构的工作环境。从而保证和支持多人同时并行地进行电子系统的设计和开发。

4、EDA技术的作用

4.1验证电路设计方案的正确性

设计方案确定之后,首先采用系统仿真或结构模拟的方法验证设计方案的可行性,这只要确定系统各个环节的传递函数(数学模型)便可实现。仿真之后对构成系统的各电路结构进行模拟分析,以判断电路结构设计的正确性及性能指标的可实现性。这种量化分析方法对于提高工程设计水平和产品质量,具有重要的指导意义。

4.2电路特性的优化设计

元器件的容差和工作环境温度将对电路的稳定性产生影响。传统的设计方法很难对这种影响进行全面的分析,也就很难实现整体的优化设计。EDA技术中的温度分析和统计分析功能可以分析各种温度条件下的电路特性,便于确定最佳元件参数、最佳电路结构以及适当的系统稳定裕度,真正做到优化设计。

4.3实现电路特性的模拟测试

电子电路设计过程中,大量的工作是数据测试和特性分析。但是受测试手段和仪器精度所限,测试问题很多。采用EDA技术后,可以方便地实现全功能测试。

5、EDA技术的软件

l)EWB(Electronics Workbench)软件。EWB是基于PC平台的电子设计软件,由加拿大Interactive Image Technologies Ltd。软件具有以下特点:①集成化工具:一体化设计环境可将原理图编辑、SPICE仿真和波形分析、仿真电路的在线修改、选用虚拟仪器、借助14种分析工具输出结果等操作在一个集成系统中完成。②仿真器:交互式32位SPICE强化支持自然方式的模拟、数字和数/模混合元件。自动插入信号转换界面,支持多级层次化元件的嵌套,对电路的大小和复杂没有限制。③原理图输入:鼠标点击一拖动界面,点一点自动连线。分层的工作环境,手工调整元器件时自动重排线路,自动分配元器件的参考编号,对元器件尺寸大小没有限制。④分析:虚拟测试设备能提供快捷、简单的分析。可以在线显示图形并具有很大的灵活性。⑤设计文件夹:同时储存所有的设计电路信息,包括电路结构、SHCE参数、所有使用模型的设置和拷贝。全部存放在一个设计文件中,便于设计数据共享以及丢失或损坏的数据恢复。⑥接口:标准的SPICE网表,既可以输入其他CAD生成的SHCE网络连接表并行成原理图供EWB使用,也可以将原理图输出到其他PCS工具中直接制作线路板。

2)PROTEL软件。广泛应用的Prote199主要分为两大部分:用于电路原理图的设计原理图设计系统(Advanced Schematic)和用于印刷电路板设计的印刷电路板设计系统(Advanced PCB)。

第7篇

    电子技术的发展变化必然给板级设计带来许多新问题和新挑战。首先,由于高密度引脚及引脚尺寸日趋物理极限,导致低的布通率;其次,由于系统时钟频率的提高,引起的时序及信号完整性问题;第三,工程师希望能在PC平台上用更好的工具完成复杂的高性能的设计。由此,我们不难看出,PCB板设计有以下三种趋势:

    高速数字电路(即高时钟频率及快速边沿速率)的设计成为主流。

    产品小型化及高性能必须面对在同一块PCB板上由于混合信号设计技术(即数字、模拟及射频混合设计)所带来的分布效应问题。

    设计难度的提高,导致传统的设计流程及设计方法,以及PC上的CAD工具很难胜任当前的技术挑战,因此,EDA软件工具平台从UNIX转移到NT平台成为业界公认的一种趋势。

    (二)、高频电路布线技巧

    高频电路往往集成度较高,布线密度大,采用多层板既是布线所必须的,也是 降低干扰的有效手段.

    高频电路器件管脚问的引线弯折越少越好.高频电路布线的引线最好采用全 直线,需要转折,可用45°折线或圆弧转折,这种要求在低频电路中仅仅用于 提高铜箔的固着强度,而在高频电路中,满足这一要求却可以减少高频信号对 外的发射和相互问的耦合.

    高频电路器件管脚的引线越短越好.

    高频电路器件管脚问的引线层问交替越少越好.也即元件连接过程中所用的 过孔(Via)越少越好.据测,一个过孔可带来约0.5pF的分布电容,减少过孔数 能显着提高速度.

    高频电路布线,要注意信号线近距离平行走线所引入的串扰,若无法避免平行分布,可在平行信号线的反面布置大面积地来大幅度减少干扰.同一层内的平 行走线几乎无法避免,但是在相邻的两个层走线的方向务必取为相互垂直.

    对特别重要的信号线或局部单元实施地线包围的措施.

    各类信号线走线不能形成环路,地线也不能形成电流环路.

    每个集成电路块(IC)的附近应设置至少一个高频退耦电容,退耦电容尽量靠近器件的Vcc.

    模拟地线(AGND)、数字地线(DGND)等接往公共地线时要采用高频扼流这一环节.在实际装配高频扼流环节时用的往往是中心穿有导线的高频铁氧体磁珠,可在原理图中把它当做电感,在PCB元件库中单独为它定义一个元件封装,布线前把它手工移动到靠近公共地线汇合的合适位置上.

    (三)、PCB中电磁兼容性(EMC)设计方法

    PCB的基材选择及PCB层数的设置、电子元件选择及电子元件的电磁特性、元件布局、元件问互连线的长宽等都制约着PCB的电磁兼容性.PCB上的集成电路芯片(IC)是电磁干扰(EMI)最主要的能量来源.常规的电磁干扰(EMI)控制技术一般包括:元器件的合理布局、连线的合理控制、电源线、接地、滤波电容的合理配置、屏蔽等抑制电磁干扰(EMI)的措施都是很有效的,在工程实践中被广泛应用.

    1.高频数字电路PCB的电磁兼容性(EMC)设计中的布线规则

    高频数字信号线要用短线,一般小于2inch(5cm),且越短越好.

    主要信号线最好集中在PCB板中心.

    时钟发生电路应在PCB板中心附近,时钟扇出应采用链或并联布线.

    电源线尽可能远离高频数字信号线或用地线隔开,电源的分布必须是低感应的(多路设计).多层PCB板内的电源层与地层相邻,相当于一个电容,起到滤波作用.同一层上的电源线和地线也要尽可能靠近.电源层四周铜箔应该比地层缩进20倍于两个平面层之间距离的尺寸,以确保系统有更好的EMC性能.地平面不要分割,高速信号线如果要跨电源平面分割,应该紧靠信号线放置几个低阻抗的桥接电容.

    输入输出端用的导线应尽量避免相邻平行.最好加线间地线,以免发生反馈耦合.

    当铜箔厚度为50um、宽度为1-1.5mm时,通过2A的电流,导线温度<3℃.PCB板的导线尽可能用宽线,对于集成电路,尤其是数字电路的信号线,通常选用4mil-12mil导线宽度,电源线和地线最好选用大于40mil的导线宽度.导线的最小间距主要由最坏情况下的线间绝缘电阻和击穿电压决定,通常选用4mil以上的导线间距.为减小导线间的串扰,必要时可增加导线间的距离,安插地线作为线间隔离.

    在PCB板的所有层中,数字信号只能在电路板的数字部分布线,模拟信号只能在电路板的模拟部分布线.低频电路的地应尽量采用单点并联接地,实际布线有因难时可部分串联后再并联接地.实现模拟和数字电源分割,布线不能跨越分割电源之间的间隙,必须跨越分割电源之间间隙的信号线要位于紧邻大面积地的布线层上.

    在PCB中由电源和地造成的电磁兼容性问题主要有两种,一种是电源噪声,另一种是地线噪声.根据PCB板电流的大小,尽量加大电源线宽度,减小环路电阻.同时,使电源线、地线的走向和数据传递的方向一致,这样有助于增强抗噪声能力.目前,电源和地平面的噪声只能通过对原型产品的测量或由有经验的工程师凭他们的经验把退耦电容的容量设定为默认的值.

    2.高频数字电路PCB的电磁兼容性(EMC)设计中的布局规则

    电路的布局必须减小电流回路,尽可能缩短高频元器件之间的连线,易受干扰的元器件距离不能太近,输入和输出元件应尽量远离.

    按照电路的流程安排各个功能电路单元的位置,使布局便于信号流通,并使信号尽可能保持一致的方向.

    以每个功能电路的核心元件为中心,围绕它来进行布局.元器件应均匀、整齐、紧凑地排列在PCB上,尽量缩短各元器件之间的引线连接.

    将PCB分区为独立的合理的模拟电路区和数字电路区,A/D转换器跨分区放置.

    PCB电磁兼容设计的常规做法之一是在PCB板的各个关键部位配置适当的退耦电容.

    (四)、信号完整性(SI)分析

    信号完整性(Signal Integrity)简称SI,指信号在信号线上的质量,是信号在电路中能以正确的时序和电压作出响应的能力.

    集成电路芯片(IC)或逻辑器件的开关速度高,端接元件的布局不正确或高速信号的错误布线等都会引起如反射(reflection)、串扰(crosstalk)、过冲(overshoot)、欠冲(undershoot)、振铃(ringing)等信号完整性问题,从而可能使系统输出不正确的数据,电路工作不正常甚至完全不工作.

    PCB的信号完整性与设计

第8篇

由于自主性实验需要学生独立、系统的完成实验任务,需要耗费较多时间,如果实验题目不能引起学生们的兴趣,他们是不会花费精力和时间的。因此,选题是一个很重要的环节。

二、实验内容安排要有利于培养学生的创新能力

基础实验采用TDS-2数字电路实验系统,使用小规模集成电路(SSI)是资源密度仅几个门的集成逻辑门,如与门、或门、异或门和触发器等;中规模集成电路(MSI)是资源密度仅几十个门或几百个门的标准功能模块,如计数器,寄存器、译码器、数据选择器。综合实践平台采用GW48-SOPC实验系统,使用Altera公司的超大规模通用可编程逻辑器件PLD(ProgrammableLogicDevice),资源密度在上千门至百万门之间,使数字系统设计从电路级深入到了芯片级,用Al-tera公司的MAX_PlusⅡ或QuartusⅡ,允许学生在印刷线路板上编辑和修改器件逻辑功能,使硬件功能的重构与软件设计一样方便。

1.设计准备。学生首先根据任务要求进行设计分析,按系统复杂程度划分功能单元,然后进行方案论证,权衡系统工作速度、PLD器件资源、产品成本及连线的布通率等,选择合适的设计方案和性能比高的PLD器件。设计以项目工程的形式进行,新建项目时可指定项目的存放路径和目录、设计工程名称以及最高层设计实体的名称、指定目标器件的系列和型号,最后工程向导会给出设计报告。

2.设计输入。学生在编辑器中建立源文件,阐明设计要求。源文件可以是原理图方式或文本方式。原理图方式使用逻辑符号组构电路,容易理解与掌握。开发软件平台除提供功能强大的各类器件库外(如逻辑门、触发器、组合功能部件、时序功能部件、存储器等),还允许学生自己建立特殊的器件符号。文本方式是采用硬件描述语言HDL(HardwareDescriptionLanguage)描述电路的输入、输出关系及逻辑功能,学生可以不需要熟悉系统的底层电路和PLD的内部结构,通过逻辑描述就能确定设计方案的可行性;

3.分析与综合。分析与综合是PLD开发软件对设计文件进行处理的第一步骤。首先由编译器分析检验设计输入是否符合规范,包括逻辑规则检测、网络连接检测、信号来源和流向检测等。比如图形设计文件中信号线有无漏接、信号有无双重来源,元件端口属性是否匹配;文件设计中有无关键字、逻辑语法或结构等错误。检验通过后编译器对设计文件进行优化和综合,简化逻辑方程式以减少设计占用的资源,并综合成一个网表文件形成系统逻辑模型。

4.功能仿真。功能仿真可验证系统模型是否满足设计功能要求。仿真的测试码或测试序列可以通过建立矢量波形文件、矢量文件和矢量输出文件设置。其中矢量波形文件以设计文件的输入、输出时序波形直接显示设计对象的逻辑关系,与时序波形图相似,适用于具有重复状态变化特征的逻辑函数。在波形编辑器中,一般可以选择需要观察的输入、输出节点,对输入信号赋值、改变信号状态的显示方式等。只要给定各测试输入信号的时序关系或逻辑电平,仿真器就以信号波形图或仿真报告文件的形式给出逻辑仿真结果甚至信号的传输时间供设计者分析。如果逻辑功能不符合设计要求,学生可以修改设计直至要求满足。

5.时序仿真。由于不同器件的不同布局对系统信号延时有不同的影响,因此在器件适配完成后可以进行时序仿真,分析信号传输延时,检查和消除竞争冒险现象,估计系统设计性能。

三、为学生营造一个相互交流的课堂氛围

课堂是学生实施自己计划的主战场,教师不应再去面面俱到的指导学生如何做实验,而应针对不同学生的不同设计方案和不同问题做一些关键性的指导,形成一个以学生自己动手为主.教师引导与点评相结合的启发式教学模式。遇到问题时需更多的鼓励学生们自己想办法解决,教师切忌有问必答,一切包办代替,否则就失去了自主性实验的意义。只有这样才能更加活跃学生的思维,才能真正培养学生分析问题、解决问题的能力。

四、结论

第9篇

关键词:Reed-Solomon编码;数字芯片;DVB-T

中图分类号:TP37 文献标识码:A 文章编号:1009-3044(2014)25-5971-03

Reed-Solomon (RS)编码是欧洲地面数字视频广播标准DVB-T中用于纠错的信道编码技术。作为DVB-T无线数字基带接收机的重要组成部分,RS解码器的设计对芯片的面积、成本和功耗都有影响。在参考文献[1][2]中,作者对RS解码器算法进行了描述。随着集成电路制造工艺的进步,现在28nm CMOS工艺已经成为消费类电子设备芯片的主流。在新的工艺下如何进行RS解码器设计的优化是一个值得探讨的问题。该文针对DVB-T无线数字接收机中的RS解码器算法和架构进行了研究,在完成RTL设计的基础上,进行了详细系统的后端版图设计与仿真。不仅完成了RS解码器的芯片设计全流程,而且进行了跨层次的面积和功耗优化。

1 RS解码的原理和算法

RS解码数学理论比较繁琐,其中错误位置多项式及估计值多项式的求解成为解码成功的关键。RS 解码主要步骤如下:1) 求伴随多项式S(x);2) 计算错误位置多项式及估计值多项式;3) 求错误位置;4) 计算错误值;5) 完成纠错。

具体由图1所示:

2 RS解码器的RTL实现

译码流程主要包括输入并缓存码字、计算码字伴随式S、计算差错位置多项式lamda(x)、计算关键等式omega(x)、根据lamda(x)和omega(x)计算错误位置和错误图样、纠错、输出码字,这几个步骤,该文介绍的流水线结构如图2所示。

RTL设计中,Top层模块RS_dec依据功能划分为如下几个子模块:input_syndromes、GF_mult_add_syndromes、BM_lamda、lamda_roots、omega_phy、error_correction、transport_in2out、output_stage、DP_RAM、GF_matrix_dec、GF_matrix_ascending_binary。

其中input_syndromes、GF_mult_add_syndromes实现伴随式计算功能;BM_lamda计算lamda(x)系数;omega_phy计算omega(x)系数;lamda_roots计算lamda(x)方程的根;error_correction实现纠错过程;transport_in2out完成第二级延时中从mem_in到mem_out的码字乒乓搬移;output_stage实现第三级延时中输出正确码字的过程;GF_matrix_dec为GF(256)有限域数值从power域到decimal域的转换表,地址位表示在power域的数值大小加1,即地址1到255对应0到254幂次项的decimal域对应值,地址0的对应值为0;GF_matrix_ascending_binary为GF(256)有限域数值从decimal域到power域的转换表,地址位表示在decimal域的数值大小,地址1到255存储decimal域1到255所对应的的power数值,地址0存储数值为255(事实上power域只有0到254幂次项,255幂次等价于0幂次,即255个数。而decimal域有0到255共256的数。Decimal域的0在power域是找不到幂次项与其对应的。这里地址0存储255的作用是,power域运算时,如果一个运算数是直接从decimal域通过GF_matrix_ascending_binary查表的来的,那么当这个数值是255时,可以确定它事实上是decimal域的0,从而在运算中做一些特殊处理)。系统结构如图3所示。

3 RS解码器的芯片后端设计

3.1 流程简介

数字后端设计基本的流程包括Data Setup(数据库建立),Design Planning(设计规划),Placement (布局),Clock Tree Synthesis(时钟树综合),Routing(布线),Chip Finishing(芯片完成优化)这几个阶段。

3.2 数据库建立

后端设计的第一步就是建立数据库。只有建立了与网表符合匹配的数据库,物理设计才能继续下去。数据建立包括版图设计与物理库生成,标准单元模型生成。

版图设计是建库的主要工作之一,它与工艺紧密相关。它经过DRC和LVS的检验合格后,根据实际情况来建立逻辑单元物理库。单元库的各种模型库建立完成后需要通过EDA工具将数据输入到设计流程中以验证它们的一致性和工具的兼容性。为了验证单元库质量,设计者通常会利用单元库设计一些测试电路调用所有逻辑单元进行功能性参数验证。

逻辑单元分为标准单元,模块宏单元和输入输出单元三种。与单元库相关的标准数据格式包括以下几种:电路级,符号级,版图级,硬件描述语言,物理库文件,时序库文件,功耗库,噪声库和其他库。

3.3 设计规划

布图规划是物理设计的最重要的步骤,一般布图规划占用整个物理设计三分之一的时间,布图规划的主要内容有:芯片大小的规划、IO单元的规划、硬盒的规划。

布图规划的主要内容有:1) 确定芯片的面积;2) 确保时序的收敛;3) 保证芯片的稳定;4) 满足布线的要求。

3.4 布局

在布局时,将所有宏单元固定,报告忽略的金属层,报告电源网blockage的布置,查看硬盒和软核的设置,最后定义时钟的布线规则。图4为布局后的版图。

3.5 时钟树综合

在时钟树综合之前,所有的时钟引脚都是用一个时钟源(clock source )时钟驱动的,这时,这个资源时钟被定义被理想时钟,时钟树综合后,理想时钟(ideal clock)变成了传播时钟此时的传播时钟不足以驱动那么多单元,这时它通过插入一级级的时钟缓冲器驱动。为了迎合时钟树的设计规则约束,对时钟树很大的设计,可采用时钟网络实现较小的时钟偏差。

本设计侧重解决低偏差(skew),我们采用以下优化策略:

1) 合理的clock root和through pin;2) 不要用太大或者太小的clock buf/inv;3) 选用RC最小的金属层;4) 选用double width clock wire,适当增大clock buf/inv的size;5) 合理的max fanout;6) 合理设置skew大小;7) 合理设置transition time;9) 使用postCTS的CTS_opt;10) 设计clock tree时,直接完成clock net走线。

设置好这些优化策略后,进行时序的优化。

3.6 布线和优化

布线是继布局和时钟树综合之后的重要物理实施任务,其内容是将分步在芯片核内的模块、标准单元和输入输出借口单元按逻辑关系互联,要求百分之百地完成它们之间的所有逻辑信号的互连,并为满足各种约束条件进行优化。超大规模集成电路多层布线采用自动布线方法,它是一种复杂的布线方法,实施过程分为全局布线和详细布线以及布线修正三个部分来完成。图5所示为布线优化完成后的RS解码器电路版图。

3.7 后端仿真结果

通过ICC后端仿真,从area report中得到芯片总的cell面积为48003um2,芯片的利用率为74.22%.时钟的周期为1.5ns,表示它的频率可以达到666Mhz,芯片工作时的功耗为34.9842mw。

4 总结

本文介绍了DVB-T协议中RS解码器算法流程,通过RTL级的建模、仿真,实现了RS解码的基本功能,通过后端的时钟树设计、布局布线优化,基本完成了RS解码器芯片硬核的设计。

参考文献:

[1] 曾德才.基于DVD应用的RS编译码器的研究和FPGA实现[D].西安:西北工业大学,2007.

第10篇

关键词:系统认证;物理设计;FD310S

The Physical Design of An Electronic System Certification Chip

LAI Song-lin

(College of Physics and Information Engineering, Fuzhou Univercity, Fuzhou, Fujian, 350108)

Abstract: In order to prevent illegally cloning of electronic products, the physical design of a system certification chip FD310S which protected the electronic system is introduced. Based on Hua Hong NEC 0.35 μm 1P3M technology, the timing closure design flow of Soc Encounter is used, including floorplan, timing-driven placement, static timing analysis and optimization, clock tree synthesis and timing-driven routing. After achieving timing closure, the connection between a special shape reused I/O pad and the power rings of whole chip are created in Virtuoso environment. The design has successfully passed the DRC (Design Rule Check) and LVS (Layout Versus Schematic).

Keywords: system certification; physical design; FD310S

1引言

目前,电子产品非法克隆、复制,所谓“山寨”现象普遍存在,例如数字机顶盒、GPS导航系统、智能手机等大众电子产品最易被克隆。一些专业的电子产品仿制公司可以根据客户所提供的样板和样机完成从电路PCB板的抄板、板上加密程序解密复制、功能样机制作、全套技术资料提取等服务。非法抄板复制严重损害了原创产品的利益,导致品牌受损,并造成行业竞争恶化,利润极低。

通常,技术人员采用软加密、硬加密或软硬件结合的方式来对所开发的系统进行保护。当今市场上已经存在的具有防复制、加密等功能的电子系统认证芯片有Atmel公司生产的具有多用途的AT88SCxx加密存储系列芯片,韩国NEOWINE公司开发的电子产品防复制芯片ALPU系列,上海芯正电子科技有限公司生产的XZ8802防复制加密芯片和深圳致芯微电子公司的防抄板嵌入式系统加密芯片DM2016等。

本文研究的系统认证芯片是基于ASIC设计流程,采用硬加密技术对系统进行保护。主机启动后或者程序运行到关键位置时,以传输随机密文方式与系统认证芯片进行通讯,认证成功后系统才能正常工作。系统认证工作流程如图1所示。由于系统认证芯片是基于ASIC进行设计,复制难度很大,因此可有效实现对电子产品的版权保护。

2系统认证芯片前端设计简介

该系统认证芯片主要由时钟模块、I2C通讯模块、密钥存储模块、密钥烧写模块、解密模块、控制模块等六个模块组成:

(1)时钟模块:产生40 MHz的内部时钟,供内部逻辑电路工作;

(2)I2C通讯模块:通过I2C总线与主机进行通讯;

(3)密钥存储模块:采用一次性可编程ROM(简称OTP),具有一次性可编程能力,产品设计者可以根据各种情况决定内部密钥的内容,一旦写入,不可读出,不可更改,具有很高的安全性;

(4)密钥烧写模块:完成密钥的写入功能,保证密钥的安全写入;

(5)解密模块:采用国际标准加密算法对接收到的密文进行解密;

(6)控制模块:控制以上各个模块的协调工作。

HDL级代码设计完成后,我们在功能验证成功的基础上,通过综合工具Synopsys Design Compiler,结合华虹NEC 0.35μm三层金属的工艺,编译成RTL代码,然后将RTL代码转换为门级网表,并导出后端设计所需的时序约束文件。

3系统认证芯片物理设计

在前端导出的门级网表的基础上进行该芯片的物理设计,实现从门级网表到GDSII的转换。物理设计采用Cadence公司的SoC Encounter 5.2平台,采用时序收敛设计流程,进行了包括布图规划、布局、时钟树综合、时序优化、布线等步骤,最终导出GDSII文件。

3.1 布局规划

该系统认证芯片共有2个硬核(Block),分别是OTP模块和时钟发生模块。I/O Pad共有8个,分别是一个电源Pad,一个地Pad,五个输入输出信号Pad,还有一个高电压(VPP)与普通信号复用的Pad(以下简称share_pad)。这是因最终的芯片采用的是8脚的封装,为了节省I/O口,选用了这种复用的I/O Buffer。它既用于为OTP提供12 V的编程电压(VPP),也可以作为一个通用信号I/O来使用。但是它的形状与正常I/O Pad不同,我们采用的其他I/O pad宽度为105μm,高度为191.88 μm,share_pad的宽度为215μm,高度为73.12μm,因此在后续工作中需要对其进行特殊处理(详见3.4节)。

在对芯片进行布局规划时,首先要确定Block的位置。原则上要将这些Block尽量靠Core的边界摆放,这样就可以预留出较大的空间给标准单元以及后续的布线。该芯片中包含OTP编程烧写安全控制电路,和复杂解密算法电路,电路连线很复杂,本文采用了三种方案来摆放Block,方案的实际指标见表1,布局规划结果如图2所示。

为适应封装要求,方案(a)采用长条形的内核形状,该方案在实际布线时很难布通。主要是因为我们采用的是华虹NEC 0.35μm三层金属的工艺,只有Metal1、Metal2和Metal3三层布线资源,水平方向走线的是Metal1和Metal3,垂直方向只有Metal2,垂直方向的布线资源比较紧张。因此,尝试采用扁平形状的内核,使垂直方向有更多的走线空间。方案(b)采用扁平形状的内核,将OTP模块放置在左上角,与时钟模块呈对角线放置,这样布局在内核利用率达71.55%后,在后续布线中顺利通过。另外参考文献[1]中提到逻辑电路的版图形状对布通利用率的影响,作者提出布线区域越接近正方形,布通率越高,因此,尝试采用方案(c),将OTP竖直放置在左边,使右边有完整的方块区域可以布线,结果显示,方案(c)是可行的,但其内核利用率达67.49%时布线通过,面积较大。

因此我们最终采用方案(b)。

3.2源规划

确定芯片的形状、面积后,接着进行电源规划。

首先,利用“Connect Global Nets”菜单命令,连接VDD、GND全局网络。接着对芯片进行静态(Statistical)模式下的功耗分析,设置时钟对应电路节点的翻转率(Toggle Rate)为0.2,系统时钟为40 MHz;在时钟树综合之后得到的芯片内核功耗为32.218 mW,分析电压为4V,因此需要的电流为32.218 / 4 = 8.0545 mA,另外OTP编程烧写时需要的最大电流为5 mA,因此芯片至少需要13.0545 mA的电流。

根据一般布线经验,宽度为1μm的金属可以负载1 mA电流的原则,电源环的宽度为14μm以上,本文设计了3组电源环,宽度均为10μm,水平方向为Metal3,垂直方向为Metal2,电源环总宽度为30μm,确保其可以满足芯片的功耗要求。另外,由于内核的扁平形状,为了降低水平方向的电压降,设计了两组Metal2的电源条,宽度为10μm。为OTP Block和时钟模块均加了一组Block 环,为Block供电,这样可以隔离其他信号的干扰(见图2(b))。

3.3 时序收敛设计

时序收敛在芯片物理设计中至关重要,是芯片物理设计必需达到的指标,以确保电路可以正常工作。本设计参照SoC Encounter的时序收敛设计流程,如图3所示[2]。

(1)时序驱动布局

在对芯片进行布局规划的基础上,采用时序驱动(Timing Driven)的方式来放置标准单元。工具会自动寻找设计中的关键路径,平衡其建立时间(Setup)的约束,预先为这些关键路径留足布线空间,提高关键信号线的可布通性[3]。

(2)时钟树综合

时钟信号在物理设计中的实现结果被形象地称之为时钟树[4]。时钟树结构可以减少由于不同路径之间的长度差别而导致的时钟偏差,它是在时钟路径的起点(根节点)和最终到达的寄存器时钟输入端(叶节点)之间插入专用的时钟缓冲器,起到平衡根叶时钟相位差、减小时钟偏移和传输延迟的作用[5]。

(3)静态时序分析与优化

在时钟树综合之前,必须解决Setup的违规,这就需要在布局之后对电路进行静态时序分析和优化。互连线的RC参数提取和延时计算是静态时序分析的前期工作。时钟树综合前的时序分析类型是Setup,即在时钟作用前沿(或后沿)到达前,同步输入信号必须保持稳定的那段时间以使信号不至于丢失[4]。若设计中存在Setup违规,则需要进行时序优化。

时钟树综合之后的时序分析类型是Setup和Hold。Hold要求在时钟作用前沿(或后沿)到达后,同步输入信号必须保持稳定的一段时间,以使信号能被成功地锁存。若存在时序违规,需要进行时序优化。

详细布线后仍要进行时序分析。此时常用的时序优化方法是原地优化(IPO,In-place Optimization),例如,挑选并替换驱动能力大小不一样的逻辑单元(Re-sizing);复制一个逻辑单元去分担负载(Cloning);添加“缓冲器”(Buffering)或用缓冲器去替代两个反相器等方式[4]。

(4)时序驱动布线

采用时序驱动布线策略,布线器在布线时会考虑每条路径的时序延时、每个单元的驱动强度以及最大电容和最大传输延迟的限制,以保证时序违规尽量少;并且布线器在时序关键路径会尽量避免迂回绕道使连线尽可能短。

3.4 版图后续处理

完成布局布线后,通过Encounter环境下的Connectivity和Geometry的验证后导出GDSII文件。将生成的GDSII文件导入Virtuoso环境进行版图的修改。

由于本文前面提到的share_pad的高度与其他I/O Pad的高度不一致,所以它无法将Pad内部的电源环连成闭合回路,未连接前的情况如图4(a)所示。我们采取的解决方法是将share_pad的GND和VDD分别与旁边Pad内部的电源环进行连接。首先,将share_pad两旁原先填充的I/O Filler删除,留出一些空间来进行GND和VDD的连接;然后用Metal2和Metal3来实现share_pad的GND和VDD之间的连接,并且打上通孔Via2(连接Metal2和Metal3);接着用Metal1来连接Pad内的其他信号,使之连成环。连接结果如图4(b)所示。

3.5 物理验证

将修改后的版图导出GDSII文件,在Mentor公司的Calibre环境下进行设计规则检查(DRC)和版图与原理图一致性检查(LVS)。

设计规则是以器件的特征尺寸为基准,根据制造工艺水平及考虑其它因素,制定出一整套关于各掩膜相关层上图形自身尺寸及图形间相对尺寸的最小允许值。DRC是检查版图中各掩膜相关层上图形的各种尺寸,保证无一违反规定的设计规则[6]。该设计DRC检查到的错误是ME2.i_2,是由于两条Metal2距离太近引起的。定位到DRC错误的位置后,发现是因为Via1(Metal1和Metal2层的通孔)和Via2重叠在一起,导致工具计算金属线间距时是按着通孔的宽度来计算的。解决办法是将Via1和Via2拉开一段距离,并在它们之间用Metal2进行连接。

在进行LVS检查之前,先要在版图的相应位置用金属层标注各个Pad的名称,并且在正确位置标注电源、地的名称。另外,还需要用“v2lvs -v DESIGN_TOP.v -o DESIGN_TOP.cdl -s0 GND -s1 VDD”命令生成cdl格式的Spice网表,并且在生成cdl网表前头加上包含华虹NEC标准单元和I/O单元以及所用到Block的spi文件的命令,例如:“.include ./hh_spi/cz6h_std.spi”。经过相应的处理后,设计成功通过LVS检查,并参加了华虹的MPW流片。

4结语

本文主要研究了应用于电子产品保护的系统认证芯片FD310S物理设计的过程,设计基于华虹NEC 0.35 μm三层金属工艺,采用SoC Encounter时序收敛流程进行设计。设计过程中,对其中一个高压复用的特殊管脚进行了处理,解决了由于管脚高度不同电源环无法连成环的问题。本设计成功通过了Calibre的DRC和LVS物理验证。系统认证芯片的最终面积约为6.5 mm2。考虑相关测试信号的使用,本文成功流片后封装成SOP18管座,如图5所示。

参考文献

[1] 张颖, 潘亮. 芯片版图面积的设计优化[J]. 中国集成电路, 2006(7): 57-60.

[2] Cadence. Encounter timing closure guide[M/DK]. CA: Cadence Design Systems, Inc., 2005:8.

[3] 刘辉华. 超深亚微米SOC芯片布局布线实现[D]. 成都: 电子科技大学, 2006.

[4] 陈春章, 艾霞, 王国雄. 数字集成电路物理设计[M]. 北京: 科学出版社, 2008.

[5] 王伟, 刘成, 侯立刚, 等. 光栅测量系统芯片后端物理设计与实现[J]. 微电子学, 2007, 37(4): 579-583.

[6] Fontanelli A, Arrigoni S, Raccagni D, et al. System-on-Chip (SoC) Requires IC & Package Co-Design and Co-Verification[C]//IEEE. PROCEEDINGS OF THE IEEE 2002 CUSTOM INTEGRATED CIRCUITS CONFERENCE, May 12-15, 2002, Orlando, FL. New York: IEEE, 2002: 319-322.

第11篇

当前,电力电子作为节能、节才、自动化、智能化、机电一体化的基础,正朝着应用技术高频化、硬件结构模块化、产品性能绿色化的方向发展。在不远的将来,电力电子技术将使电源技术更加成熟、经济、实用,实现高效率和高品质用电相结合。

1.电力电子技术的发展

现代电力电子技术的发展方向,是从以低频技术处理问题为主的传统电力电子学,向以高频技术处理问题为主的现代电力电子学方向转变。电力电子技术起始于五十年代末六十年代初的硅整流器件,其发展先后经历了整流器时代、逆变器时代和变频器时代,并促进了电力电子技术在许多新领域的应用。八十年代末期和九十年代初期发展起来的、以功率MOSFET和IGBT为代表的、集高频、高压和大电流于一身的功率半导体复合器件,表明传统电力电子技术已经进入现代电力电子时代。

1.1整流器时代

大功率的工业用电由工频(50Hz)交流发电机提供,但是大约20%的电能是以直流形式消费的,其中最典型的是电解(有色金属和化工原料需要直流电解)、牵引(电气机车、电传动的内燃机车、地铁机车、城市无轨电车等)和直流传动(轧钢、造纸等)三大领域。大功率硅整流器能够高效率地把工频交流电转变为直流电,因此在六十年代和七十年代,大功率硅整流管和晶闸管的开发与应用得以很大发展。当时国内曾经掀起了-股各地大办硅整流器厂的热潮,目前全国大大小小的制造硅整流器的半导体厂家就是那时的产物。

1.2逆变器时代

七十年代出现了世界范围的能源危机,交流电机变频惆速因节能效果显著而迅速发展。变频调速的关键技术是将直流电逆变为0~100Hz的交流电。在七十年代到八十年代,随着变频调速装置的普及,大功率逆变用的晶闸管、巨型功率晶体管(GTR)和门极可关断晶闸管(GT0)成为当时电力电子器件的主角。类似的应用还包括高压直流输出,静止式无功功率动态补偿等。这时的电力电子技术已经能够实现整流和逆变,但工作频率较低,仅局限在中低频范围内。

1.3变频器时代

进入八十年代,大规模和超大规模集成电路技术的迅猛发展,为现代电力电子技术的发展奠定了基础。将集成电路技术的精细加工技术和高压大电流技术有机结合,出现了一批全新的全控型功率器件、首先是功率M0SFET的问世,导致了中小功率电源向高频化发展,而后绝缘门极双极晶体管(IGBT)的出现,又为大中型功率电源向高频发展带来机遇。MOSFET和IGBT的相继问世,是传统的电力电子向现代电力电子转化的标志。据统计,到1995年底,功率M0SFET和GTR在功率半导体器件市场上已达到平分秋色的地步,而用IGBT代替GTR在电力电子领域巳成定论。新型器件的发展不仅为交流电机变频调速提供了较高的频率,使其性能更加完善可靠,而且使现代电子技术不断向高频化发展,为用电设备的高效节材节能,实现小型轻量化,机电一体化和智能化提供了重要的技术基础。

2.现代电力电子的应用领域

2.1计算机高效率绿色电源

高速发展的计算机技术带领人类进入了信息社会,同时也促进了电源技术的迅速发展。八十年代,计算机全面采用了开关电源,率先完成计算机电源换代。接着开关电源技术相继进人了电子、电器设备领域。

计算机技术的发展,提出绿色电脑和绿色电源。绿色电脑泛指对环境无害的个人电脑和相关产品,绿色电源系指与绿色电脑相关的高效省电电源,根据美国环境保护署l992年6月17日“能源之星"计划规定,桌上型个人电脑或相关的设备,在睡眠状态下的耗电量若小于30瓦,就符合绿色电脑的要求,提高电源效率是降低电源消耗的根本途径。就目前效率为75%的200瓦开关电源而言,电源自身要消耗50瓦的能源。

2.2通信用高频开关电源

通信业的迅速发展极大的推动了通信电源的发展。高频小型化的开关电源及其技术已成为现代通信供电系统的主流。在通信领域中,通常将整流器称为一次电源,而将直流-直流(DC/DC)变换器称为二次电源。一次电源的作用是将单相或三相交流电网变换成标称值为48V的直流电源。目前在程控交换机用的一次电源中,传统的相控式稳压电源己被高频开关电源取代,高频开关电源(也称为开关型整流器SMR)通过MOSFET或IGBT的高频工作,开关频率一般控制在50-100kHz范围内,实现高效率和小型化。近几年,开关整流器的功率容量不断扩大,单机容量己从48V/12.5A、48V/20A扩大到48V/200A、48V/400A。

因通信设备中所用集成电路的种类繁多,其电源电压也各不相同,在通信供电系统中采用高功率密度的高频DC-DC隔离电源模块,从中间母线电压(一般为48V直流)变换成所需的各种直流电压,这样可大大减小损耗、方便维护,且安装、增加非常方便。一般都可直接装在标准控制板上,对二次电源的要求是高功率密度。因通信容量的不断增加,通信电源容量也将不断增加。

2.3直流-直流(DC/DC)变换器

DC/DC变换器将一个固定的直流电压变换为可变的直流电压,这种技术被广泛应用于无轨电车、地铁列车、电动车的无级变速和控制,同时使上述控制获得加速平稳、快速响应的性能,并同时收到节约电能的效果。用直流斩波器代替变阻器可节约电能(20~30)%。直流斩波器不仅能起调压的作用(开关电源),同时还能起到有效地抑制电网侧谐波电流噪声的作用。

通信电源的二次电源DC/DC变换器已商品化,模块采用高频PWM技术,开关频率在500kHz左右,功率密度为5W~20W/in3。随着大规模集成电路的发展,要求电源模块实现小型化,因此就要不断提高开关频率和采用新的电路拓扑结构,目前已有一些公司研制生产了采用零电流开关和零电压开关技术的二次电源模块,功率密度有较大幅度的提高。

2.4不间断电源(UPS)

不间断电源(UPS)是计算机、通信系统以及要求提供不能中断场合所必须的一种高可靠、高性能的电源。交流市电输入经整流器变成直流,一部分能量给蓄电池组充电,另一部分能量经逆变器变成交流,经转换开关送到负载。为了在逆变器故障时仍能向负载提供能量,另一路备用电源通过电源转换开关来实现。

现代UPS普遍了采用脉宽调制技术和功率M0SFET、IGBT等现代电力电子器件,电源的噪声得以降低,而效率和可靠性得以提高。微处理器软硬件技术的引入,可以实现对UPS的智能化管理,进行远程维护和远程诊断。

目前在线式UPS的最大容量已可作到600kVA。超小型UPS发展也很迅速,已经有0.5kVA、lkVA、2kVA、3kVA等多种规格的产品。

2.5变频器电源

变频器电源主要用于交流电机的变频调速,其在电气传动系统中占据的地位日趋重要,已获得巨大的节能效果。变频器电源主电路均采用交流-直流-交流方案。工频电源通过整流器变成固定的直流电压,然后由大功率晶体管或IGBT组成的PWM高频变换器,将直流电压逆变成电压、频率可变的交流输出,电源输出波形近似于正弦波,用于驱动交流异步电动机实现无级调速。

国际上400kVA以下的变频器电源系列产品已经问世。八十年代初期,日本东芝公司最先将交流变频调速技术应用于空调器中。至1997年,其占有率已达到日本家用空调的70%以上。变频空调具有舒适、节能等优点。国内于90年代初期开始研究变频空调,96年引进生产线生产变频空调器,逐渐形成变频空调开发生产热点。预计到2000年左右将形成。变频空调除了变频电源外,还要求有适合于变频调速的压缩机电机。优化控制策略,精选功能组件,是空调变频电源研制的进一步发展方向。

2.6高频逆变式整流焊机电源

高频逆变式整流焊机电源是一种高性能、高效、省材的新型焊机电源,代表了当今焊机电源的发展方向。由于IGBT大容量模块的商用化,这种电源更有着广阔的应用前景。

逆变焊机电源大都采用交流-直流-交流-直流(AC-DC-AC-DC)变换的方法。50Hz交流电经全桥整流变成直流,IGBT组成的PWM高频变换部分将直流电逆变成20kHz的高频矩形波,经高频变压器耦合,整流滤波后成为稳定的直流,供电弧使用。

由于焊机电源的工作条件恶劣,频繁的处于短路、燃弧、开路交替变化之中,因此高频逆变式整流焊机电源的工作可靠性问题成为最关键的问题,也是用户最关心的问题。采用微处理器做为脉冲宽度调制(PWM)的相关控制器,通过对多参数、多信息的提取与分析,达到预知系统各种工作状态的目的,进而提前对系统做出调整和处理,解决了目前大功率IGBT逆变电源可靠性。

国外逆变焊机已可做到额定焊接电流300A,负载持续率60%,全载电压60~75V,电流调节范围5~300A,重量29kg。

2.7大功率开关型高压直流电源

大功率开关型高压直流电源广泛应用于静电除尘、水质改良、医用X光机和CT机等大型设备。电压高达50~l59kV,电流达到0.5A以上,功率可达100kW。

自从70年代开始,日本的一些公司开始采用逆变技术,将市电整流后逆变为3kHz左右的中频,然后升压。进入80年代,高频开关电源技术迅速发展。德国西门子公司采用功率晶体管做主开关元件,将电源的开关频率提高到20kHz以上。并将干式变压器技术成功的应用于高频高压电源,取消了高压变压器油箱,使变压器系统的体积进一步减小。

国内对静电除尘高压直流电源进行了研制,市电经整流变为直流,采用全桥零电流开关串联谐振逆变电路将直流电压逆变为高频电压,然后由高频变压器升压,最后整流为直流高压。在电阻负载条件下,输出直流电压达到55kV,电流达到15mA,工作频率为25.6kHz。

2.8电力有源滤波器

传统的交流-直流(AC-DC)变换器在投运时,将向电网注入大量的谐波电流,引起谐波损耗和干扰,同时还出现装置网侧功率因数恶化的现象,即所谓“电力公害”,例如,不可控整流加电容滤波时,网侧三次谐波含量可达(70~80)%,网侧功率因数仅有0.5~0.6。

电力有源滤波器是一种能够动态抑制谐波的新型电力电子装置,能克服传统LC滤波器的不足,是一种很有发展前途的谐波抑制手段。滤波器由桥式开关功率变换器和具体控制电路构成。与传统开关电源的区别是:(l)不仅反馈输出电压,还反馈输入平均电流;(2)电流环基准信号为电压环误差信号与全波整流电压取样信号之乘积。

2.9分布式开关电源供电系统

分布式电源供电系统采用小功率模块和大规模控制集成电路作基本部件,利用最新理论和技术成果,组成积木式、智能化的大功率供电电源,从而使强电与弱电紧密结合,降低大功率元器件、大功率装置(集中式)的研制压力,提高生产效率。

八十年代初期,对分布式高频开关电源系统的研究基本集中在变换器并联技术的研究上。八十年代中后期,随着高频功率变换技术的迅述发展,各种变换器拓扑结构相继出现,结合大规模集成电路和功率元器件技术,使中小功率装置的集成成为可能,从而迅速地推动了分布式高频开关电源系统研究的展开。自八十年代后期开始,这一方向已成为国际电力电子学界的研究热点,论文数量逐年增加,应用领域不断扩大。

分布供电方式具有节能、可靠、高效、经济和维护方便等优点。已被大型计算机、通信设备、航空航天、工业控制等系统逐渐采纳,也是超高速型集成电路的低电压电源(3.3V)的最为理想的供电方式。在大功率场合,如电镀、电解电源、电力机车牵引电源、中频感应加热电源、电动机驱动电源等领域也有广阔的应用前景。

3.高频开关电源的发展趋势

在电力电子技术的应用及各种电源系统中,开关电源技术均处于核心地位。对于大型电解电镀电源,传统的电路非常庞大而笨重,如果采用高顿开关电源技术,其体积和重量都会大幅度下降,而且可极大提高电源利用效率、节省材料、降低成本。在电动汽车和变频传动中,更是离不开开关电源技术,通过开关电源改变用电频率,从而达到近于理想的负载匹配和驱动控制。高频开关电源技术,更是各种大功率开关电源(逆变焊机、通讯电源、高频加热电源、激光器电源、电力操作电源等)的核心技术。

3.1高频化

理论分析和实践经验表明,电气产品的变压器、电感和电容的体积重量与供电频率的平方根成反比。所以当我们把频率从工频50Hz提高到20kHz,提高400倍的话,用电设备的体积重量大体下降至工频设计的5~l0%。无论是逆变式整流焊机,还是通讯电源用的开关式整流器,都是基于这一原理。同样,传统“整流行业”的电镀、电解、电加工、充电、浮充电、电力合闸用等各种直流电源也可以根据这一原理进行改造,成为“开关变换类电源”,其主要材料可以节约90%或更高,还可节电30%或更多。由于功率电子器件工作频率上限的逐步提高,促使许多原来采用电子管的传统高频设备固态化,带来显著节能、节水、节约材料的经济效益,更可体现技术含量的价值。

3.2模块化

模块化有两方面的含义,其一是指功率器件的模块化,其二是指电源单元的模块化。我们常见的器件模块,含有一单元、两单元、六单元直至七单元,包括开关器件和与之反并联的续流二极管,实质上都属于“标准”功率模块(SPM)。近年,有些公司把开关器件的驱动保护电路也装到功率模块中去,构成了“智能化”功率模块(IPM),不但缩小了整机的体积,更方便了整机的设计制造。实际上,由于频率的不断提高,致使引线寄生电感、寄生电容的影响愈加严重,对器件造成更大的电应力(表现为过电压、过电流毛刺)。为了提高系统的可靠性,有些制造商开发了“用户专用”功率模块(ASPM),它把一台整机的几乎所有硬件都以芯片的形式安装到一个模块中,使元器件之间不再有传统的引线连接,这样的模块经过严格、合理的热、电、机械方面的设计,达到优化完美的境地。它类似于微电子中的用户专用集成电路(ASIC)。只要把控制软件写入该模块中的微处理器芯片,再把整个模块固定在相应的散热器上,就构成一台新型的开关电源装置。由此可见,模块化的目的不仅在于使用方便,缩小整机体积,更重要的是取消传统连线,把寄生参数降到最小,从而把器件承受的电应力降至最低,提高系统的可靠性。另外,大功率的开关电源,由于器件容量的限制和增加冗余提高可靠性方面的考虑,一般采用多个独立的模块单元并联工作,采用均流技术,所有模块共同分担负载电流,一旦其中某个模块失效,其它模块再平均分担负载电流。这样,不但提高了功率容量,在有限的器件容量的情况下满足了大电流输出的要求,而且通过增加相对整个系统来说功率很小的冗余电源模块,极大的提高系统可靠性,即使万一出现单模块故障,也不会影响系统的正常工作,而且为修复提供充分的时间。

3.3数字化

在传统功率电子技术中,控制部分是按模拟信号来设计和工作的。在六、七十年代,电力电子技术完全是建立在模拟电路基础上的。但是,现在数字式信号、数字电路显得越来越重要,数字信号处理技术日趋完善成熟,显示出越来越多的优点:便于计算机处理控制、避免模拟信号的畸变失真、减小杂散信号的干扰(提高抗干扰能力)、便于软件包调试和遥感遥测遥调,也便于自诊断、容错等技术的植入。所以,在八、九十年代,对于各类电路和系统的设计来说,模拟技术还是有用的,特别是:诸如印制版的布图、电磁兼容(EMC)问题以及功率因数修正(PFC)等问题的解决,离不开模拟技术的知识,但是对于智能化的开关电源,需要用计算机控制时,数字化技术就离不开了。

3.4绿色化

电源系统的绿色化有两层含义:首先是显著节电,这意味着发电容量的节约,而发电是造成环境污染的重要原因,所以节电就可以减少对环境的污染;其次这些电源不能(或少)对电网产生污染,国际电工委员会(IEC)对此制定了一系列标准,如IEC555、IEC917、IECl000等。事实上,许多功率电子节电设备,往往会变成对电网的污染源:向电网注入严重的高次谐波电流,使总功率因数下降,使电网电压耦合许多毛刺尖峰,甚至出现缺角和畸变。20世纪末,各种有源滤波器和有源补偿器的方案诞生,有了多种修正功率因数的方法。这些为2l世纪批量生产各种绿色开关电源产品奠定了基础。

第12篇

关键词:电子技术基础实验 演示仪 设计

1 现状分析

数字电子技术基础是高等学校理科类学生广泛选修的课程,对于电子、通信等专业更是必修的基础入门课程[1],具有较强的理论性和实践性。目前电子技术方面的实践教学都是独立设课,几乎没有相应的课堂演示实验。

与普通物理、化学具有丰富的演示实验器材相比,市场上几乎没有电子技术基础课堂演示实验器材。而实验室的实验器材比较笨重,不方便携带。所以设计实用性较强、简单便携的电子技术基础演示实验演示仪就显得很有必要。

2 设计实例

以3人表决器电路为例,具体阐述实验演示仪的设计和制作过程与要点。

2.1 电路原理

3人表决器电路,又称为多数“1”鉴别电路(如图1所示)。工作方式就是当3个输入端中有任意2个或者2个以上输出逻辑状态为“1”时,电路输出状态为“1”;否则电路输出状态为“0”。其逻辑功能见表1[2]。可广泛应用于各种比赛的裁判电路。

图1 3人表决器电路原理图

表1

2.2 电路图设计

图1所示电路需要选用合适的芯片去实现,另外还加上电源、输入端电路、输出端电路才能工作。

图2是3人表决器设计电路图。

图2 电子技术基础综合实验演示仪电路

2.3 电路说明

2.3.1 主电路芯片选择

我们采用TTL系列的74LS00和74LS20芯片。集成电路不直接焊接,而是采用插接式安装。即焊接安装一个同规格的芯片插座,把芯片插在上面使用,这样一旦出现芯片故障,更换非常方便[3]。

2.3.2 电源

因为此电路只需直流电源,而且最大电压为+5 V,允许误差为±10%。所以我们采用可容纳4节5号或者7号干电池的电池盒来充当电源。

2.3.3 输入、输出端电路

输入端用开关来控制输入电平,一般选用单刀双掷钮子开关,并采用LED发光二极管作为逻辑电平指示灯。开关打开时就输入逻辑电平“1”,对应的指示灯亮起;关闭时输入逻辑电平“0”,对应的指示灯熄灭。

输出端直接用一个LED发光二极管作为逻辑电平指示灯。

2.3.4 限流电阻

发光二极管的反向击穿电压约5 V。它的正向伏安特性曲线很陡,使用时必须串联限流电阻以控制通过管子的电流。限流电阻R可用下式计算[4]:

R=(E-UF)/IF

式中E为电源电压,UF为LED的正向压降,IF为LED的一般工作电流。

普通的发光二极管正饱和压降为1.6~2.1 V,最常见的压降大约为1.8 V,具体值可以查看对应的型号参数表。工作电流5~20 mA。

由以上条件可计算出电路各处电阻范围,选用合适的标准电阻。电阻采用最常见的色环电阻,1/4 W规格即可。

2.3.5 电路板

电路板一般有敷铜板、面包板和万用板。从实用性、可靠性、美观性和布局灵活性等方面考虑,最好采用环氧玻璃布敷铜板[5,6]。

2.4 功能扩展

为了提高电路利用率,我们把电路适当修改,使其功能得到扩展。主要是增加了功能切换开关K4。再添上电源开关以及电源指示灯,使电路更加完整。

2.4.1 芯片逻辑功能演示

开关K4向上接a位置时,如果IC1位置芯片为74LS00,则可以演示与非门功能;如果IC1位置芯片为74LS08,则可以演示与门功能;如果IC1位置芯片为74LS32,则可以演示或门功能;如果IC1位置芯片为74LS86,则可以演示异或门功能;如果IC1位置芯片为CC4001,则可以演示或非门功能。

2.4.2 3人表决器电路功能演示

开关K4向下接b位置时,如果IC1位置芯片为74LS00,IC2位置芯片为74LS20,则可以演示3人表决器电路功能。

3 结束语

该实验演示仪具有制作简单、轻便易携、结构简单、方便操作、附带电源、价格低廉等特点。电子技术基础演示实验不是一个电路就能解决的,需要根据教学需要,制作一系列相应的演示仪。以上仅仅试列举了一个电路作为示范,其他电路依此也不难制作。太复杂的电路不建议课堂演示,应放到专业实验课去解决。

参考文献

[1] 谢建明.电子技术基础实验教学改革探讨[J].中国现代教育装备,2006(8):46-47.

[2] 吴勇灵.浅谈三人表决器实验电路的设计[J].物理实验,2010(8):33-35.

[3] 尹建友.如何减少电子电路制作过程中的人为故障[J].科技信息,2007(25):104.

[4] 谢自美.电子线路实验・测试・设计[M].第二版.武汉:华中理工大学出版社,2003.