HI,欢迎来到学术之家股权代码  102064
0
首页 精品范文 汽车电子技术论文

汽车电子技术论文

时间:2022-05-09 01:35:37

开篇:写作不仅是一种记录,更是一种创造,它让我们能够捕捉那些稍纵即逝的灵感,将它们永久地定格在纸上。下面是小编精心整理的12篇汽车电子技术论文,希望这些内容能成为您创作过程中的良师益友,陪伴您不断探索和进步。

汽车电子技术论文

第1篇

1.学生对“职业核心能力”的认识和理解。

在关于“您是否非常清楚什么是职业核心能力”问卷调查中,回答“不清楚”的,在校学生占73%,在企业中实习或已工作的学生占53.3%,说明了学生在校期间接触这方面的训练比较少,对职业核心能力并没有认识和理解,学校缺乏专门的培训。在关于“您觉得是否需要着重培养自己的职业核心能力”中,选择“非常需要”的,在校学生占44.4%,在企业中实习或已工作的学生占80%;选择“需要”的,在校学生占55.6%,在企业中实习或已工作的学生占20%;选择“不怎么需要”和“不需要”占0%,这说明学生非常渴望职业核心能力的培养。

2.学生对自身的能力和职业发展前景的认识。

在“您是否非常清楚自己应该往哪些职业方向去发展?”问卷调查中,选择“是”的在校学生占33.3%,在企业中实习或已工作的学生占73.3%,选择“不太确定”在校学生66.7%,在企业中实习或已工作的学生占26.7%。在“您觉得自己在校培养的职业核心能力与出来社会工作所要求的(不管专业是否对口)是否有区别?”,在校学生93.7%选择有区别,在企业中实习或已工作的学生的98.7%选择有区别。学生认为影响自己职业发展的主要不足之处,选择人数最多的一项是“缺乏信息处理能力”,第二多的是“专业知识和专业技能不足”,排在第三位的是“缺乏创造力”。在关于“您认为从事您想做的工作所最需要的职业核心能力是什么”问卷调查中,排在第一位的是“沟通能力”,其次是“团队合作能力”,再次是“创新能力”。这些说明大多数学生对自己将来的职业发展方向认识不清楚,对将来从事的工作所需的职业核心能力也不明确。可见职业核心能力方面的学校培训对于高职学生有很重要的意义。

3.学生对职业核心能力培养方式的反馈。

在“目前学校对学生职业核心能力培养的主要方式是什么”问卷提问中,选择“理实一体、工学结合教学”在校学生占57.1%,选择“职业指导课”占22.2%,选择“参加相关社团活动”占19%,选择“听讲座”占11.1%,选择“参加招聘会”占6.4%。在“在校期间哪些活动对您成长帮助最大”问卷提问中,选择“参加社会活动(如寒暑假社会实践、公益活动等)”在校学生占49.2%,选择“各种社团活动”占35%。可见“,理实一体、工学结合教学”和“社会活动(如寒暑假社会实践、公益活动等)”是目前高职院校学生职业核心能力培养的主要途径。

二、用人单位对汽车电子技术业毕业生的评价调研

评价调研的对象是2010年、2011年、2012年、2013年毕业的汽车电子技术专业学生,评价的内容包括“对毕业生思想、道德、文化、心理、身体素质的综合评价”、“对毕业生业务、职业技能、实践能力等的综合评价”、“本专业毕业生能力、素质的主要缺陷”“、对我院人才培养工作的建议”。调研结果表明:汽车电子专业中高素质技能型人才无论是数量和质量上均处在严重紧缺的状态,已成为制约行业发展的瓶颈;在调研过程中我们注意到,在企业内很多人都担任了不同的工作角色,几乎所有企业都喜欢既懂技能又懂管理,专业知识面广,一专多能,具有一定社交能力和组织协调能力的专业人才。汽车电子技术应用行业需要的是掌握了一定专业知识、动手能力强的技能型人才,特别对高素质综合技能型人才要求比较高,现在的企业对人才的综合素质也提出了很高的要求,从思想素质到职业道德及人文素质都提出了较高要求。

三、高职汽车电子技术专业学生核心能力培养的措施

第2篇

论文摘要:本文指出我国汽车电子产业大而不强,企业核心竞争力严重缺乏,市场发展前景广阔。当前是汽车电子产业自主创新的关键时期,自主创新是提高我国汽车电子产业竞争力的突破口,并提出自主创新的发展思路。

汽车电子技术创新是推动汽车产业发展的主要推动力,汽车中约70%的创新来源于汽车电子技术。汽车电子产业给汽车产业带来了活力,使汽车这一传统产业焕发了新生,是信息技术改造传统产业的典范。汽车电子作为汽车的组成部分,同时也是信息产业的新兴力量,标准和专利在汽车电子产业中的地位举足轻重,可以说“标准就是力量”。

一、我国汽车电子产业大而不强

2007年,我国汽车产业生产和销售量分别达到888.24和879.15万辆,分别跃居世界第三和第二位,成为汽车生产和销售大国。中国汽车市场的快速发展带动了汽车电子市场的高速增长,2007年我国汽车电子市场规模达到1000亿元。

我国汽车产业以及汽车电子产业虽然规模大,但企业核心竞争力严重缺乏,核心技术和关键元器件受制于人。在中国汽车电子市场中,国外品牌的产品占据了主导地位,其中包括Bosch、Denso、Delphi、Simense、Visteon、Continental、Valeo等跨国企业。深圳航盛虽连续多年成功跻身中国汽车电子市场十大供应商行列,主要产品就是汽车音响,并非汽车电子的核心技术。

跨国公司利用其所掌握核心技术、标准和专利,掌控着全球产业布局,从而实现其全球利益最大化。我国汽车电子产业处在产业链和价值链的中、低端,只是跨国集团全球发展战略的生产基地和销售市场。汽车电子产业长期以加工组装为主,利润率和工业增加值每况愈下,严重影响我国的产业安全。

二、我国汽车电子市场的发展趋势

电子技术和信息技术已经广泛应用于汽车的各个领域,极大地改善了汽车在安全、节能、环保、快速和舒适等综合性能,汽车电子设备对汽车产品重要性的不断增加。目前,汽车上的电子装置成本将可能占汽车整车成本的30%左右,在高档轿车达到60%以上。2007年,全球汽车电子市场规模达到1410亿美元。汽车电子产业市场规模将进一步扩大,市场前景广阔。

随着汽车电子行业的发展,汽车电子的性能和可靠性将大幅提高,新能源和节能技术、信息技术的发展,将推动汽车电子产品的升级换代。汽车电子产业中的高性能IC以及高端解决方案将被大量应用和普及网络级解决方案前景看好。

预计2007到2011年,我国汽车电子市场将保持快速发展年均复合增长率将超过25%,2011年汽车电子市场将实现2400亿元的规模。随着汽车消费市场的快速发展,由于人们对汽车消费的要求的提高,汽车电子产品高端需求将持续增长,因而,我国汽车电子产业即使面临汽车市场增长放缓,也会持续高速发展。

三、自主创新是提高我国汽车电子产业竞争力的突破口

在汽车电子领域,由于盲目崇拜外国技术的认识误区阻碍了国内科研系统的发展。我国汽车企业研发能力十分薄弱,对外国技术高度依赖,同时由于这种境况,在获取外国技术合作中往往面临不平等的条件,技术合作的领域也并非核心技术领域。例如,有些外企明文规定,合资生产的产品不得出口到其有销售意愿的国家或地区。开放虽然一定程度提高了民族企业的生产和技术水平,但愿为达到独立自主发展的阶段和能力,陷入到技术引进、模仿、落后,再引进、模仿持续落后的技术陷阱,始终作为跨国企业的附庸,核心技术和关键元器件受制于人。

由于国内汽车电子产业大量存在知识产权得不到有效保护,中国缺乏有效的知识产权保护体系而压制了企业技术创新的积极性,于是,中国民族企业只是关注使用外国技术,依靠低成本生产产品,而不是全力开发独自技术。导致中国汽车电子产业在技术陷阱中越陷越深。

主席在2006年全国科学和技术大会上指出:“抓住信息科技更新换代和新材料科技迅猛发展的难得机遇,把掌握装备制造业和信息产业核心技术的自主知识产权作为提高我国产业竞争力的突破口。”我国已确定把掌握装备制造业和信息产业核心技术为国家创新战略的重点之一,明确规定:“把掌握装备制造业和信息产业核心技术的自主知识产权,作为提高我国产业竞争力的突破口。从世界范围看,信息技术正处于加快发展的关键时期,新材料技术发展十分迅猛,蕴含着巨大的发展机遇。我们要以信息、装备制造和新材料的集成创新为核心,开发一批重大成套装备、高技术装备,尽快改变我国在这方面缺乏核心技术、关键成套装备基本依靠进口的局面,促进信息化与工业化良性互动,全面提升我国制造业的技术创新能力和国际竞争能力。”

目前,汽车电子产业正面临着这样的技术和产品更新换代的好时机,加快自主创新,快速掌握具有自主知识产权的核心技术,是提高我国汽车电子产业国家竞争力的突破口和关键时机。

四、我国汽车电子产业自主创新发展思路

我国汽车产业和汽车电子产业将持续快速发展,应该以此为依托,提高自主创新能力,实现汽车产业的腾飞,推动我国成为全球电子强国。推动我国汽车电子产业自主创新的发展思路如下:

1.保持我国汽车电子产品在产业链的中、低端环节的规模优势。保持规模优势是我国企业在市场竞争中得以生存的基础和保证。今后10年内我国经济将继续保持快速增长,居民可支配收入快速增加,汽车市场规模扩大,汽车电子市场在规模和产品层次上进一步扩大和提高,汽车电子产品无论是高端、低端都将进一步放大。这就为汽车电子企业占领市场,保持规模低成本优势,获得生存和发展提供了条件,也为在“大”中图“强”打下了基础。充分发挥电子产品生产加工方面的优势。在现有的技术基础上,国内品牌很难在很短的时间内,在核心汽车电子领域有重大突破,在这样的情况下,国内企业应根据自身特点和有点,选择合理的产品定位,使其在电子产品生产加工方面的优势得到体现。目前,民族企业在汽车音响、防盗器、倒车雷达等中、地点汽车电子领域占有一定的市场份额,这些产品技术要求相对较低,而且非常有利于突出本土企业的优势,在这些产品领域,民族企业仍然具有很大的发展空间,积极拓展全球市场。

2.重点发展汽车嵌入式电子芯片和软件。汽车嵌入式电子芯片和软件是汽车电子发展的技术主流。从汽车电子领域的发展趋势看,愈来愈多的汽车电子产品都在向数字化、智能化、网络化的方向发展,单纯的硬件产品向软、硬件一体化的设备发展,单纯做硬件的企业向兼做硬件和软件的企业发展。因而汽车电子企业从传统的设备制造企业变成嵌入式芯片和软件企业,正是多数汽车电子企业的发展方向。

汽车电子企业继续提高其企业中、产品中的软件成分的比重,向更加“软”的方向发展。汽车电子产业应从低端、纯硬件的加工制造,向更高端的、嵌入式设备的设计制造方向发展。

3.实施知识产权发展战略。知识产权在当今市场竞争中的作用越来越重要,这种重要性决定国家、行业和企业的生存与发展,决定着国家、行业和企业的切身利益。只有相当一批具有重要意义和影响的原始性创新成果相继涌现才能推动我国汽车电子领域部分重点和关键领域已接近或达到国际先进水平。在汽车电子产业中自主创新就是要把握住自主知识产权,实现企业竞争力的根本改变。

技术专利是知识产权重要组成部分,专利战略被确立为科技发展战略之一。企业是技术创新的主体,知识产权战略首先应当是一种企业战略,是企业市场竞争的战略性武器。我国汽车电子企业应注重技术开发和利用,将大量的技术专利,通过多种交易方式实现知识产权或使用权的转化,进而完成商品化、产业化。国内行业与企业应主动了解并掌握与知识产权相关的国际惯例,加快实现技术、专利、标准的融合,提升整个产业的综合实力。

4.利用扶持创新发展的产业政策。对于国内汽车电子产业来说,核心汽车电子产品是其薄弱环节,核心技术和产品的技术难度大,开发成本高,同时也要面对实力强大的竞争对手。国家必须出台相应的产业政策予以支持。国家应坚持科学决策,完善创新机制和产业化政策体系,应从财政支持、税收减免优惠、政府和国企采购等产业政策等方面来支持具有自主知识产权的核心技术开发的企业,加快推进自主知识产权技术产业化。

我国汽车电子产业处于发展的初级阶段,企业应根据市场和自身优势,打开核心技术的突破口,利用国家的行业政策,实施知识产权发展战略,实现打破跨国公司技术垄断,推动汽车电子产业健康发展。

参考文献:

[1]邵虞.汽车电子憧憬未来.电子产品世界,2003(11):8-11.

第3篇

刊载内容:主要刊载较高学术、技术水平和实用价值的研究课题、学术报告、科研成果和综合评述等优秀学术性论文,主要栏目有:军事通信、无线通信、无线与互联网、信号处理、通信设备、信息安全、测控技术、数控技术、自动化技术、电子技术应用、工控技术、电子技术、智能交通与导航、新型显示技术、图像检测与处理、汽车电子、节能减排技术;嵌入式技术,科学计算及信息处理、计算机控制与仿真、计算机软/硬件与数据总线、模式识别与人工智能、航空航天技术、新型电子材料、电子与信息器件、传感器技术、虚拟仪器与应用、新型智能器件、电源技术、激光与红外技术等。

本刊影响及收录情况:本刊主要刊载学术、技术类文章和有实用价值的研究课题、学术报告、科研成果等优秀技术性论文。在中国科技核心期刊扩展版中所属学科为TN类(无线电电子学、电信技术)。

按影响因子与学科排名:影响因子为0.548,在同类全国排名中位列第12名;

总被引频次与学科排名:被引频次为4 633,在同类全国排名中位列第2名;

基金论文比与学科排名;基金论文比为0.332,在同类全国排名中位列第29位;

来源文献量与学科排名:来源文献量为1 188,在同类全国排名中位列第6名。

收录情况:《现代电子技术》为中国学术期刊综合评价数据库来源期刊、RCCSE中国核心学术期刊(A)、中国新闻出版总署期刊资料库收藏期刊、中国期刊、中国科技期刊、知网、万方和维普等各大数据库全文收录期刊;为美国《乌利希期刊指南》收录期刊。

投稿要求:来稿务必论点明确,文字精练,数据可靠,每篇论文(含图、表)一般不超过 6 000 字,必须包括(按顺序):题目、作者姓名、作者单位及邮政编码、中文摘要(目的、方法、结果、结论四要素齐全)、关键词( 4~8 个)、中国图书资料分类号(简称中图分类号)、文献标识码、英文信息(题名、作者姓名、单位、摘要和关键词)、正文、参考文献(不少于 6个)。

文中图、表只附最必要的(一般不超过 6 幅)。插图务必清晰,请用计算机软件( Word 或 Visio )绘图(矢量图或高点阵图)。

第4篇

2018年上半年面向社会开考专业实践性环节考核时间安排表

专业

考核课程

报名时间

报名方式

考核时间

实施单位

报名联系电话

通信信息管理(专)

所有实验

2018年3月

电话或到学校自考办

(电子科技大学继续教育学院406室,成都市一环路东一段240号)

2018年5月上旬

电子科技大学

自考办

028-83202390

uestcedu.com/

电子技术(专)

所有实验

计算机及应用(专)

所有实验

机电一体化工程(专)

所有实验

计算机信息管理(专)

所有实验

机电一体化工程(本)

所有实验

计算机及应用(本)

所有实验

计算机网络(本)

所有实验

计算机信息管理(本)

所有实验

工业工程(本)

所有实验

机电一体化工程(本)

毕业设计

2017年12月

2018年3月中上旬

工业工程(本)

毕业设计

计算机及应用(本)

毕业设计

计算机网络(本)

毕业设计

计算机信息管理(本)

毕业设计

房屋建筑工程(专)

所有实践课程

2017年12月上、中旬

到学校自考办(西南交通大学远程与继续教育学院5408房间)

2018年3月中旬

西南交通大学自考办

028-87600449

建筑工程(本)

所有实践课程

环境艺术设计(本)

所有技术法考试

工程造价管理(本)

所有实践课程

物流管理(本)

所有实践课程

工程造价管理(专)

所有实践课程

环境艺术设计(本)

毕业论文

2017年12月上、中旬

2018年3月下旬

建筑工程(本)

毕业论文

文化产业(本)

毕业论文

工程造价管理(本)

毕业论文

2017年12月上、中旬

到学校自考办(西南交通大学远程与继续教育学院5408房间)

2018年3月下旬

西南交通大学自考办

028-87600449

物流管理(本)

毕业论文

电子商务(本)

毕业论文

英语(专)

英语(本)

听力 、 口语

口译与听力

2018年3月1日至3月29日

网上报考

2018年4月21日

西华师大自考办

内江师院自考办

四川大学自考办

西科大自考办

sc.51100.net

028-82009200

国际贸易(本)

毕业论文

2017年12月1日至12月15日

2018年3月下旬

四川大学

自考办

51100.net

028-85412537

联系人:邱老师

法律(本)

毕业论文

公共事业管理(本)

毕业论文

行政管理(本)

毕业论文

英语(本)

毕业论文

新闻学(本)

毕业论文

旅游管理(本)

毕业论文

对外汉语(本)

毕业论文

中英合作商务管理(本)

毕业论文

中英合作金融管理(本)

毕业论文

美术教育(专)

实践课程

2018年6月

电话报名

2018年7月

护理学(专)

1、生化实验2、病理实验3、药理实验4、临床实习5、生理实验

2017年12月

网上报考

2018年3月至6月

人力资源管理(本)

毕业论文

2017年12月1日至12月15日

2018年3月下旬

汉语言文学(本)

毕业论文

2017年11月

网上报考

2018年3月

四川师范大学自考办

crjy.sicnu.edu.cn

028-84760759

数学教育(本)

毕业论文

汉语言文学教育(本)

毕业论文

物业管理(本)

毕业论文

应用化学(本)

毕业论文

学前教育(本)

毕业论文

社会工作与管理(本)

毕业论文

应用化学(本)

微生物学与微生物学检验

环境影响与评价

有机化学(二)

信器分析(一)

2018年3月9日

现场报名

2018年3月10日上午

201年3月10日下午

2018年3月11日上午

2018年3月11日下午

四川师范大学自考办

028-84760802

应用化学(专)

微生物学

无机化学(二)

分析仪器结构与维护

工业分析

食品理化检验

2018年3月11日上午

2018年3月11日下午

2018年3月10日下午

2018年3月10日上午

2018年3月10日上午

物业管理(本)

物业管理与实务(实践)

2018年3月10日上午

028-84760255

学前教育(专)

幼儿教师实习指导(实践)

028-84760713

学前教育(本)

幼儿教师教研指导(实践)

教育管理(本)

毕业论文

2017年12月30日之前

网上查询细则,材料邮寄学校自考办报名

2018年3月

成都师范学院自考办

028-66054321

cdnu.edu.cn

会计(本)

毕业论文

2017年11月14日至11月24日

网上报名

Swufe-online.com

2018年3月24日

西南财经大学自考办

028-87352955

联系人:尹晓瑾

金融(本)

毕业论文

工商企业管理(本)

毕业论文

财税(本)

毕业论文

市场营销(本)

毕业论文

经济学(本)

毕业论文

营养食品与健康(本)

1、所有实验

2、毕业论文

2017年12月1日至2018年1月15日

电话或到学校自考办报名,四川理工学院继续教育学院:四川理工学院汇北校区食堂4楼408办公室

2018年3月中下旬

四川理工学院自考办

0813-5505572

联系人:张老师

电子商务(专)

1、课程考核

2、课程设计

2017年12月

到学校自考办

1、2018年3月第1周

2、2018年3月上旬

成都信息工程大学自考办

028-87077790

联系人:梁老师

小学教育(本)

毕业论文

2017年12月

电话或到学校自考办

2018年3月

西华师范大学自考办

0817-2314317

13219131715姚老师

体育教育(本)

毕业论文

2018年1月4日至10日(法定假日除外)

电话或到学校自考办(成都体育学院继续教育处306室)

2018年3月14日报到,3月15日考核

成都体育学院自考办

028-85050130

数字媒体艺术(本)

所有实践课程、毕业设计(开题、答辩)

2017年12月4日至2018年1月15日

信函或到学校自考办

2018年3月下旬

西南科技大学自考办

0816-6089268

zk.swust.net.cn/

信息管理与服务(本)

机械制造与自动化(本)

电子信息技术(本)

建筑经济管理(本)

物流管理(专)

实践课程

2018年3月12日

到学校成教院

2018年3月26日

四川交通职业技术学院

028-85094025

秘书学(本)

毕业设计

2017年12月1日至12月30日

到学校自考办

2018年4月初

成都学院

自考办

028-84612006

联系人:李老师

乡(镇)村管理(专)

所有实践

2017年12月

信函、电话或到学校自考办

2018年3月第2周

四川农业大学自考办

0835-2885763

林业及园林高新技术与管理(本)

毕业设计

2017年12月

2018年3月第2周

汽车服务工程(本)

汽车电子控制技术(实践)

汽车检测诊断技术(实践)

2017年12月1日至12月8日

到学校自考办

2018年3月下旬

西华大学

自考办

028-87721841

毕业设计

电气工程与自动化(本)

电力电子技术(实践)

单片机原理及应用(实践)

自动控制原理(一)(实践)

工业过程与过程控制(实践)

计算机控制系统(实践)

毕业设计

工程管理(本)

毕业设计

2017年12月1日至12月10日

网上查询细则(网址:jjy.pzhu.cn)邮箱报名(邮箱:1277155636@qq.com)、现场报名

2018年3月1日

攀枝花学院

自考办

0812-3372897

信息技术教育(本)

数据库Visual Foxpro及学校应用(实践)

Photoshop图形处理(实践)

计算机网络基本原理(实践)

毕业论文

2017年11月10日至11月30日

2017年12月2日至12月20日(论文)

到学校自考办(绵阳师范学院数学与计算机科学学院自学考试办公室一楼410房间)

2018年1月10日报到及开始实践性环节考核

2018年1月6日论文答辩

绵阳师范学院自考办

第5篇

论文摘要:本文综述新经济环境对汽车产业可能造成的影响和冲击。讨论汽车产业嫁接新旧经济的经验,研究探索中国汽车产业进人新经济时代的生存和发展策略。

1新经济是21世纪的主导经济

新一轮世界产业革命浪潮正在悄然迫近。人类社会在经历了采集经济、农业经济和工业经济之后,世界经济已面临一种以全球化、信息化、网络化和知识驱动为基本特征的社会经济形态—新经济(LIVewEconom3)。新经济是相对传统经济而言的新概念。新经济作为21世纪的主导经济,主要表现为持续的高经济增长、高就业和低通货膨胀的“两高一低”特征二新经济将对典型的传统产业—汽车业造成自上而下的大变革。这场变革可能会改变汽车产业的根本性质,诱发汽车产业在组织构造、产业界限、供应链结构、生产模式、产品寿命、市场格局、竞争方式、交易速度和金融制度等方面深层次的革命。新经济崛起将对全球汽车产业的生存和发展带来了危机和风险。

2对汽车产业的影响和冲击

新经济对传统汽车产业造成的影响和冲击十分明显。例如,新经济发源地美国近年来汽车产业产值占GDP比重己逐步下降,经济增长中有27%归功于高新技术产业,而传统支柱产业—汽车业的份额仅占4%左右;;1998年美国三大汽车公司的市盈率平均仅为9,而微软公司却高达52。另外,三大汽车公司的市场资本总值还及不上微软公司。新经济对汽车产业造成的影响和冲击还具体表现在以下几方面:

(1)新经济带来了汽车产业新的经济运行模型,更引发了产业的制度创新。越来越多的事实证明,汽车工业生产力发展并没有或并不完全依赖科技进步,企业的制度创新将更重于技术创新。随着发展中国家汽车企业引进先进技术和设备低效使用的例子增多,人们认识到,机制和制度层面的问题将成为制约汽车产业发展的重要瓶颈;

(2)网络经济对传统汽车产业的冲击是多方面和颠覆性的。例如电子商务以极具侵略性的销售模式,瓦解了汽车传统销售的业务组合,改变用户传统的购车经验,动摇传统用户的忠诚度,改变传统汽车市场的固有格局,从而使汽车企业不得不重新构建新的流通体系,制订新的经营战略;

(3)在新经济时代,全球竞争加剧导致汽车产业知识一技术一产品更新周期的缩短,人们将网络年(3个月)”来描述汽车电子技术的发展速度。虚拟电子空间产生的“虚拟现实技术”和“动态联盟”为加快汽车产品创新和开发速度提供了可能。例如,在1991)年轿车由构思到生产出厂要花费6年时间,而现在已缩短为不到2年。

(4)全球汽车供应链及其管理正由“纵向一体化”趋向“横向一体化”。根据新经济时代供应链管理需要,汽车产业将借助信息技术,建立供应链管理运行的支待系统和平台,重新设计组织结构及业务流程,组合资源要素,实现利润最大化;

(5)工业经济时代的效率标准是劳动生产率,而新经济时代讲究的是知识生产率。随着知识对汽车产业增长的贡献度越来越大,汽车产业的附加值已逐渐偏重于研究开发和销售服务两头,呈现“哑铃型”态势(见图1)。例如,50年代一辆汽车成本的85%以上是支付给从事常规生产的工人和零部件及原料供应商,到90年代末,这两部分成本的份额不到60%余部分支付给了设计人员、工程师、规划人员、策略家、金融专家、经营人员、律师、广告商和销售商等一批善于识别问题和解决问题的创新者;另外,美日欧发达国家的汽车工业逐渐趋向“空心化”,即将生产企业迁移国外。而这种“空心化”反而使企业竞争力增强;

(6)与工业时代不同的是,新经济时代的市场需求表现为个性化和多样化,汽车生产方式趋向“多品种变批量”的敏捷化柔性化生产。而大批量重复性生产变得不合时宜。如通用汽车公司曾投资30---40a亿美元,建立仅需15管理的高度自动化的未来工厂,但后来因市场原因无法获得预期的效益而只得关闭。事实将证明,在新经济时代,仅仅依靠投资和提高生产规模不能解决企业竟争力问题;

(7)魔”成为新经济时代企业竞争模式,竞争合作关系将取代传统汽车工业的单纯竞争关系。汽车工业全球战略联盟的案例层出不穷。例如,美国三大汽车厂商合资成立了电子商务网站.并号称要将这个网站对全世界所有汽车制造厂商和零部件供应商开放,成为世界上最大的虚拟采购市场,从而创造“上午合作,以便下午竞争”的奇迹。

总之,过去100车改变了世界,未来将进人世界改变汽车的新经济时代。在新经济时代,汽车工业、汽车产品和汽车企业的概念将发生根本性变化。现在,汽车是装有计算机的轮子,在新经济时代的未来.汽车将是具有轮子的计算机。预计到2014高度成熟的汽车产品将转变为建立在新型轻质材料、新能源和新型混合动力基础上的消费类电子产品,汽车产业也将改造成为高新技术产业。

3汽车产业嫁接新旧经济的经验

24世纪初,汽车的规模生产使美国和西方发达国家进人了以汽车工业为支柱产业之一的工业化时代。然而,近年来新经济正成为美国等国家经济长盛不衰的支住力量,汽车工业作为典型的传统经济产业出路何在,汽车产业如何嫁接新旧经济体系,成为汽车界的重大研究课题。美国和其它发达国家汽车工业界通过研究探索,对此作出了成功的努力。

(1)面对日本汽车工业的竞争,美国汽车产业不是在世界市场的价格竞争中坐等淘汰,而是由政府引导,组成以企业为主体的“PNGV”国家创新系统,向传统汽车注人高新技术,从而争回世界汽车第一生产大国的地位。在新经济时代,由于信息革命涉及新旧产业众多领域,因此.政府对推动汽车产业高科技化的作用日显突出;

(2)美国及发达国家现代轿车上的电装部件价值占整车比重已超过所有钢材费用的份额,平均达到30%(其中电子装备价值达220)。通过汽车电子的大量应用(见图?),新经济成分已成功地与传统经济实现了对接;

(3)产业界限模糊、产业相互结合是新经济时代的趋势之一。汽车产业与信息产业具有互动互促的关系(见图3)4美国汽车产业为信息产业提供巨大的应用市场,信息产业通过推进汽车产业信息化进程,为汽车产业实现辅助作业、重组作业、技术创新和产品增值的可能,从而提高了美国汽车产业的竞争力。

4中国汽车产业争取“生存权”的策略

近100年来,发达国家汽车企业的竞争焦点经历了规模经济一成本价格一质量性能一品种多样化等竞争历程,目前又进人了高新技术的更高层次竞争。由于新经济时代的来临和加人从飞的临近,中国汽车工业将迅速与世界汽车产业全面接轨。在新形势下,中国汽车工业不但要补市场经济的课程,还将要承受新经济竞争环境的考验。因此根据中国经济发展所处阶段的定位和对21世纪发展的预测,必须考虑争取新经济时代中国汽车产业“生存权”策略。

4.1面上实行“井行”发展策略

目前汽车产业面临的问题是当规模经济这一课尚未补完,劳动力价格优势又在逐步消失,产品质量仍是困扰企业的顽症,再遇上产品换代加快的市场竞争压力。在竞争环境下,中国汽车工业不可能亦步亦趋,串联式走完传统汽车产业的发展历程,必须充分利用信息技术,按照“并行工程”思想解决以上一系列问题;

4.2点上实行“跨越式”发展策略

当前世界汽车产业正面临新技术革命和新一轮产业调整的发展契机,新经济时代创造了汽车工业在全球范围内重新洗牌的机会。因此无论是发达国家汽车企业还是发展中国家汽车企业可能将打破传统工业的“坛坛罐罐”,重新处于新的起跑线上。我们必须发挥利用发展中国家“后发效应”,及早对“新一代汽车电子装备”、“汽车新材料”、“新能源汽车燃料电池、复合动力及氢能源汽车)”、“电子商务”、“虚拟现实(VR)技术”、“智能交通汽车系统(邢)”和“超级汽车”等未来技术进行跟踪、研究和探索,以便选择重点,制定对策,并寻找契机,适时投人,以实现新经济时代汽车工业“跨越式”发展;

4.3推行“企业为主与政府引导相结合”策略

“创新”是新经济的灵魂。根据国外汽车工业的经验,创新的主体是企业,汽车公司应成为技术创新的需求者、开发应用者和主要投资者。政府也扮演十分重要的角色。在当前我国汽车企业技术创新能力薄弱的情况下,政府应发挥“助推器”作用,牵头建立“国家汽车创新系统”,组织官产学研,对汽车工业“竞争前基础技术”进行联合攻关,以增强中国汽车工业整体竟争力;

第6篇

关键词:汽车电器;CDIO;课程改革

中图分类号:G642.3 文献标志码:A 文章编号:1000-8772(2013)09-0210-02

CDIO代表构思、设计、实施和运作,它是“做中学”和“基于项目的教育和学习”的集中体现,CDIO模式是工程教育模式的一种创新,本文是依托于黑龙江工程学院教学研究项目“基于CDIO工程教育的汽车电器课程改革研究”的研究成果,文中研究在CDIO工程教育模式下的汽车电器课程教学改革。汽车电器系列课程是车辆工程专业及其他汽车类专业的重要的技术基础课。汽车电器课程包括汽车电器和汽车电子控制技术两部分内容,课程教学包含理论教学部分和实践(实验和实训)教学部分。在传统教学模式下,课程内容知识面广,信息量大,实践性强;课程教学往往先理论,后实践,有的知识点甚至没有实验,难以将理论知识应用于工程实际;课程教学方法重视知识的传授,不利于工程技术能力的培养;更为突出的是课程教学难以适应工程技术人才创新能力培养的要求。

1 CDIO工程教学理念

CDIO工程教育模式是近年来国际工程教育改革的最新成果。CDIO代表构思(conceive)、设计(Design)、实现(Implement)和运作(Operate),它让学生以主动的、实践的、课程之间有机联系的方式学习工程。培养学生工程基础知识、个人能力、人际团队能力和工程系统能力。CDIO工程教育模式提出了系统的能力培养、教学大纲、全面的实施指引以及具可操作性的实施检验的12条标准。

2001年,中国教育部和中国科学技术协会共同倡导和启动一项具有重大意义的科学教育改革,取名“做中学”。“做中学”为学生创设了一个与现实生活密切相关的情境,在熟悉的环境里学习的东西就能产生意义的理解,而不是像现在制度化的分门别类的课程那样与实际的生活经验相隔离,只是一些抽象知识的灌输,缺乏意义的理解,让学生从一开始就认识到所学知识的目的和意义,激发他们学习的兴趣和热诚,培养他们主动学习的能力。“做中学”要求教师引导学生参与以探索为中心的学习活动,一改以往单一的课堂讲授的形式,学习知识的实践过程不再是教师向学生灌输知识的被动过程而是学生亲自参与其中的主动过程,通过主动学习、自我发现、自我评价、自我创造,这样获得的知识和经验是有价值的有意义的。

2 课程教学的CDIO能力大纲设计

CDIO的主要内容包括:以各学科相互支撑的课程体系来设计课程计划,通过一个明确的方案将个人、人际交往能力以及产品过程和系统的建造能力的培养合在同一个课程计划中。为此有必要建立课程的CDIO能力大纲。依照CDIO工程教育理论和各项研究成果,在“不减少授课内容”、“不改变原授课计划”的前提下,实施CDIO人才培养目标,建立了汽车电器课程的CDIO能力大纲,大纲示例如下表所示。

3 面向CDIO工程教育模式的教学改革与实践

面向CDIO工程教育模式,按照所设计的“课程教学的CDIO能力大纲”,笔者及其教学团队进行了汽车电器课程理论与实践教学的教学改革研究与实践,总结研究与实践成果,现将部分内容进行一下三个方面的阐述。

(1)亟待教师更新教育理念

在教学方法上,要求教师特别强调相关知识和能力在实践中的有机联系;从实际或已有知识中发现和提出问题,引导学生思考,引导学生主动学习,强调发现问题、分析问题和解决问题能力的养成,应用所学知识探究规律和致力于创新;面向CDIO,需要教师改变过去陈旧的思想意识,确立新的教育质量观。把知识!能力和素质协调发展作为衡量现代工程环境下工程人才质量的重要依据,把培养创新型人才与社会发展进步紧密结合起来。

(2)改革和完善教学内容,将课程教学与工程项目有机结合起来

CDIO模式要求学生以项目为导向,把学科知识与真实的产品研发实践结合起来,培养学生具备通过构思、设计、实施、运行这四个环节进行产品系统开发的能力。在传统的课程教学中这四个重要的环节是被分割开的,理论教学偏向于构思和设计,实践教学偏向于实施和运行,理论和实践教学的脱离导致学生个体能力环节的不健全,表现为部分学生完成学习后能够完成一个项目的设计、而完全不具备实施和运作项目能力;另一部分学生则与前者完全相反。

为此在课程改革实践中,一方面安排设计性实验,为学生提供更多的动手实践机会,加深对所学理论知识的理解和应用;另一方面,利用现有的实施条件开发课程所属的多项CDIO训练项目,如“某型汽车全车线路项目”、“模型车转向控制项目”,建立从理论学习到实践训练的完整教学过程,以项目为基础、以问题为先导,以解决问题为目的,能力为前提,整合知识和训练,让学生有一个完整的做事经历,培养系统的产品开发的能力。

(3)反对CDIO课程教学形式化

反对CDIO课程教学形式化是要正确认识形式和内容的关系,避免片面追求某种形式,CDIO标准提出主动学习方法的教与学,通过如问题教学、项目教学等教学方式,提高学生主动学习的积极性,而不是要在形式上完成新的教学大纲、新的授课计划、不应该且不必要去改变合理的教学方法和理论教学实践教学组织形式,面向CDIO教育,教学改革的重心应放在培养学生的工程能力、创新能力、社会意识和综合素质能力。

4 结束语

CDIO是一种系统的先进的教育理念和人才培养模式,使知识、能力、素质的培养紧密结合,理论、实践、创新合为一体。开展以项目为主线、以“做中学”的CDIO工程模式教学,使学生既能掌握课程所要求的基础知识,又具备一定的工程实践能力,实现创新型高素质人才的培养目标。工程教育模式在课程教学中的应用,有效地促进了教学互动、能够极大地激发学生的学习积极性,教学质量显著提高。

参考文献:

[1]郑薇薇。基于CDIO的创新型工程科技人才培养模式研究与实践[D],大连:大连理工大学硕士学位论文,2010

第7篇

关键词:高职院校;汽车文化素养

一、高职学生文化素养现状

高职院校以向行业输送技能应用型人才为人才培养目标,要求培养出不仅掌握专业知识和技能,还具有较高文化素养的专业性人才。但正因为其鲜明的职业定向性和岗位针对性,使一些人误解了高职院校的人才培养目标,片面强调职业技能教育,阻碍了人文科学教育的实施。就目前掌握的情况来看,高职院校学生的文化素养不容乐观,存在如下问题:

首先是文化素养普遍偏低。因为长久以来惯有观念的影响,加上急功近利的思想,在高职教育中存在很严重的重专业轻文化现象。有些学校虽然开设了一些诸如语文、思政、艺术之类的文化课,但是为节省办学经费,只开设一个学期,大班授课,一门课仅有几十个课时,这些文化公共课在学生心目中分量很轻。进入高职院校的学生文化素养本来就有欠缺,在大学没有得到有效的提升,走入社会以后由于文化素养不够,从事的往往只能是简单的技术工,对职业生涯的发展造成很大影响。其次是行业文化素养和职业素养欠缺。高职教育是与市场联系最为紧密的高等教育,行业办学的比例较大,带有强烈的地域色彩和行业色彩。但是,受重专业轻文化固有思想的影响,学生只关注专业知识的学习,对本行业的文化了解不够,对行业该有的职业素养也相对欠缺,造成知识结构不完整,知识储备不全面。所以高职院校培养出的人才,实际上并没有达到企事业单位的用人要求。

二、汽车文化素养培养的意义

随着现代工业的蓬勃发展,人们生活水平日益提高,汽车已经走入千家万户,成为人们生活中不可替代的必需品,与汽车相关的产业也相继诞生,最终形成一条产业链,也由此衍生出“汽车文化”这个行业文化。

高职院校有汽车类和非汽车类专业之分。其中汽车专业着重专业技术的培养,不太注重汽车文化教育,非汽车类专业学生则对汽车文化知之甚少,在高职院校中培养学生的汽车文化素养意义非常重大。

首先是高职院校彰显自身特色的需要。现在的高职院校已经进入内涵发展阶段,要加快内涵发展,打造高职品牌,就必须彰显自己的特色。汽车类高职院校如果能把普及汽车行业文化作为一个重头戏,把校园文化和汽车行业文化进行对接,将汽车行业精神及使命、职业道德等在校园文化建设中得到内化,将使校园文化氛围带有浓郁的行业文化色彩,打造出属于自己的特色文化,促进自身特色的形成。其次是培养学生由专业知识向职业能力迁移和促进就业的需要。高职学生除了具备必要的专业素质技能外,还应具有相关的职业能力。再者,是解决汽车带来的社会问题的需要。汽车产业的迅猛发展在给人类带来便捷的交通方式的同时,也带来了一系列社会问题,要解决这些问题,真正做到“人、车、环境”的和谐,成为摆在人们面前日益急迫的课题,若能在高职教育中培养学生汽车文化素养,这些带有较高汽车文化素养的学生走入社会后,率先垂范,传播汽车行业文化,也能有效促进这些问题的解决。

三、汽车文化素养培养的途径

高职院校专业有汽车类和非汽车类之分,而这两种专业汽车文化素养的基础和要求都不一样,所以在培养过程中应该采取不同的途径。

首先、在汽车类专业学生中深入开展校企合作、迎企入校“走出去请进来”、建立仿真课堂,组建汽车社团等方式,提升其汽车文化素养,有效辅助其专业创新意识和能力的培养、提高其适应社会的能力。以湖南汽车工程职院为例,学院所开设的专业中,有汽车类专业六个,分别为汽车运用技术、汽车维修与服务、汽车电子技术、汽车制造技术、汽车营销与服务、汽车评估,除汽车营销与服务专业外,其余五个专业的学生以男生为主。男生动手能力较强,汽车专业技术较好,但对文化不感兴趣,虽开设了汽车文化之类的课程,但真正深入学习的不太多。对这类学生可以通过校企合作、迎企入校,“走出去请进来”,走进企业和行业,耳濡目染,使学生亲自感知行业文化的精髓;请专家进行讲座、专业指导,使学生近距离了解行业文化,有效提升汽车文化素养;建立仿真课堂,创设学习情境,通过与“客户”的沟通,不仅使学生在专业技术方面得到锻炼,更可以感知职业素养、沟通表达等在工作中的重要性,从而提升其整体素质。其次,在非汽车类专业学生中,通过举办校园汽车文化节、开设汽车文化选修课,开展汽车文化讲座,引进校园车展,成立车模协会等途径,使非汽车类专业的学生和老师了解汽车文化,从而具有较高的汽车文化素养。

参考文献:

第8篇

【关键词】LPC2119;CAN;实时;监控系统

Abstract:In this paper,the microprocessor as the core design of awelding shop real-time monitoring system based on LPC2119,can sample circuit testing of welding workshop of toxic and harmful substances,when welding workshopenvironment parameter exceeds the set value will open theventilation system and through sound and light alarm,and the environmental parameters to the monitoring center through the CAN bus,the monitoring center environmentreal time monitoring of welding workshop,to prevent a catastrophic accident.

Key Words:LPC2119;CAN;Real time;Monitoring system

焊接车间里常存有大量的可燃和有毒物品,同时在焊接操作过程中还会产生大量的有化学气体,如果没有及时准确的检测这些气体的存在可能会对工作人员身体产生危害,可燃气体达到一定的浓度还会产生爆炸,带来的危害就相当大。所以设计一种高效准确的监控系统是非常必要的。本文利用CAN总线的通信实时性强、容错率高、抗干扰能力强等特点设计了焊接车间的实时监控系统。

1.系统结构

系统用恩智浦半导体公司的LPC2119芯片,该芯片功耗低。电路通过8路传感器传送在焊接车间里的环境信息,分别是氧、一氧化碳、硫化氢、甲烷、二氧化硫、甲荃的浓度以及环境的湿度和温度。当检测到气体超出设定的标准值时就会产生声光报警,微处理器给换气继电器电路信号开启焊接车间换气并通过CAN接口电路向临控中心送报警信息。监控中心随时可以通过CAN总线读焊接车间的环境信息,显示电路用来显示当前环境状况,供工作人员随时查询。由于CAN总线的优势监控中心随时都可以准确的掌握焊接车间的环境状况,及时处理突况。

图1 系统结构图

图2 SO2取样电路

2.系统硬件电路设计

2.1 取样检测电路

气体传感器选用的是炜盛公司的ME3系统和德国Drger公司生产的miniPac系列定电位电解式传感器,传感器电路如图2所示。各检测电路基本一样,这里只给出了二氧化硫(SO2)的取样检测电路,AD623是一个集成单电源放大器,它的增益可以由外接电路控制。湿度取样检测电路是由湿敏电容HS11XX和TLC555组成,具体电路如图3所示。取样检测电路得到的检测信息分别送到LPC2119的P0.16、P0.20和P0.25-P0.30八个端口作为采集信号输入端。

图3 湿度取样电路

2.2 CAN接口电路

LPC2119芯片中自带CAN控制模块,CAN接口电路就由6N137和82C250组成,P0.23端口与RX0相连,P0.24与TX0相连。

图4 CAN接口电路

2.3 LPC2119端口分配

系统微处理器LPC2119各端口连接是:P0.16为湿度取样检测电路信号输入端口;P0.20为温度取样电路信号输入端口;P0.25-P0.30为气体取样检测电路信号输入端口;P0.0-P0.7为LCD显示数据端口,P0.8-P0.15为LCD显示控制端口。LCD显示屏用深圳市川航科技有限公司的CH240128C液晶模块;P0.23和P0.24为CAN总线数据端口,P0.17为换气继电器控制端口;P0.18为声音报警输出端口;P0.19为光报警输出端口。P0.21-P0.22为按键输入端口。

3.系统软件设计

软件设计是基于μC/OS-II系统设计的,μC/OS-II是一个多任务的操作系统,模块化设计可移植性强。本系统的设计流程图如图5所示。

图5 系统软件设计

4.结束语

本系统设计微处理器选用LPC2119功耗低、处理能力强、性价比高,可在一个焊接车间安装多个本产品,通过CAN总线组网并与监控中心相连,CAN总线传送速度快,可靠性好,监控中心可以实时准备的知道焊接车间的环境参数,预防为]灾难性事故发生,同时监控中心也可以通过CAN总线对本产品的各节点进行设置,防止节点产品误操作。

参考文献

[1]王娟.环境监测在环境影响评价中的分析[J].科技创业家,2013,12(23):188-190.

[2]李明俊.高效气体监测方案应对空气环境监控需求[J].集成电路应用,2013,35(11):101-106.

[3]米娟芳,高楠.无线环境监测模拟装置的设计[J].山西电子技术,2013,3:15-26.

[4]陈宣扬.可燃气体检测报警技术研究[D].浙江工业大学学硕士学位论文,2011.

[5]周立功.ARM嵌入式系统基础教程(第2版)[M].北京:北京航空航天大学出版社.2008.

第9篇

关键词:汽车;车载网络系统;分析;检测;故障诊断

1 引言

车载网络系统是汽车技术和计算机技术发展的产物,它在提高汽车性能、保证行车安全等方面有着重要的作用。但是,车载网络系统在实际运用中也会出现相应的故障,不仅影响系统的正常运行,对整个汽车正常工作也产生严重的不利影响。传统的故障检测与维修方法不能适应车载网络系统检测与故障诊断的实际工作,必须根据具体情况,不断总结经验,探索新的检测与故障处理方法。

2 车载网络数据总线的的组成与结构

就其组织与结构来看,车载网络数据总线主要包括以下构成部分。这些构成部分相互联系,相互作用,对整个系统的正常运行和功能的发展起着重要作用。

⑴控制器。控制器的主要功能是接收控制单元传来的数据,并对这些数据进行处理。经过处理之后,再将数据传送至车载网络收发器。同样,控制器也接收收发器传来的数据,也对这些数据进行处理,经过处理之后,再将数据传输至控制单元。

⑵收发器。收发器接收控制器传来的数据,对其进行处理,将这些数据转化为电信号,然后将其送入数据传输线,此外,收发器也为控制器接收和转发数据。

⑶数据传输终端。事实上,数据传输终端是一个电阻器,它的作用是防止数据在线端被反射,避免出现以回声形式返回现象的发生,保证数据正常传输,提高数据传输质量。

⑷数据传输线。数据传输线是双向的,其主要作用是传输数据。两条不同的线分别被称为车载网络H线和车载网络L线。在进行数据传输的过程中,为了避免数据受到外界电磁波的干扰,避免出现受到向外辐射的情况,车载网络总线将两条线缠绕在一起。这两条线的电位是相反的,如果一条是高电平(5V),另外一条就是低电平(0V),两条线始终保持电压总和为一常数。在线路布置的时候,通过采用上述方式,不仅保护了车载网络数据总线,还避免了数据传输受到外界电磁波的干扰,同时还保证了数据总线向外辐射保持中性,也就是出现无辐射的情况。

3 凯美瑞汽车网络故障的诊断与排除

为了让人们对汽车车载网络系统故障诊断与排除有更为全面的了解,下面将以凯美瑞汽车为例,对此进行详细的介绍,希望能够给人们的实际工作提供参考。

⑴故障现象。一辆凯美瑞240V导航版汽车累计行程达到了6.8万千米,在一次重大交通事故当中,该车受到了严重的损坏,修复之后,该车仍然无法起动,将OBD-II连接到DLC3上,OBD-II无法取得通信。针对这种情况,我们采用以下方式对故障进行排除和诊断。

⑵诊断与排除。第一、通过询问了解详细情况。通过对车主进行询问,得知该车在修理的时候,曾经发现仪表板内有部分线束已经破皮,为节约维修成本,修理厂将破皮线束进行过重新包扎,智能测试仪OBD-II无法与ECM取得通信,其原因很可能是通信线路存在着问题。第二、系统的构成。凯美瑞汽车网络通信系统是一种针对实时应用的串行数据通信系统,该系统不仅通信速度高,在实际工作中,还能够检测故障。系统采用双绞线作为传输介质,并使用了两种不同的汽车网络总线,按照典型通信速度的不同,可以将其划分为HS―CAN总线和MS―CAN总线,不同的总线位置不同,在系统的作用不同。HS―CAN总线是一种中速通信总线,用于传动系、底盘、某些车身电器通信,大约以500 kb/s的速度工作,又被称为CAN1号总线和CAN2号总线;MS―CAN总线是一种中速通信总线,用于车身电气系统通信。第三、测量电阻值。具体的操作步骤如下:将点火开关转到OFF,让系统处于关闭状态,报警系统和照明系统不工作,然后再对电阻进行测量,以检查线路是否存在着断路或者断路现象。需要注意的是,在测量电阻之前,至少要保证一分钟之内不得对车辆进行任何操作,也不允许操作其它任何开关。因为如果进行操作的话,可能会引起电阻值发生变化。找到位于转向盘下方的诊断插接器DLC3,在上面插入专用连接导线,然后利用万用表测量两端的电阻值。如果情况正常,没有出现任何故障的话,标准电阻值应该在54-69欧姆之间。但经过测量所得的电阻值为122欧姆,远远超出标准值,初步判定CAN1号总线主线的某个地方可能存在着开路现象。针对这种情况,在实际工作中,将仪表板拆下,进行进一步的检查。第四、测量具体的电阻值,找出故障所在位置并进行处理。将仪表板拆下来之后,发现内部线束有两三处是包扎过的,断开位于CAN1号B侧总线连接器E43,采用万用表测量E43-1和E43-2的电阻值,得到的测量值为124欧姆,在规定的108―132欧姆之间,这说明E43到组合仪表的总线正常。将连接器E42断开,对E42-1和E42-2两端子间电阻值进行测量,得到测量值为18欧姆,不在规定的范围之内,这说明E42至ECM的线路存在着短路现象。断开E41连接器,对E41-4和E41-10两端子间电阻值进行测量,得到测量值为118欧姆,在规定的电阻值范围之内,说明E41至ECM的连接器A55之间的线路是正常的。通过上面的测量,得知CAN l号连接器E42到CAN 4号连接器E4l之间的线路存在着的问题,于是拨开E42到E4l之间的线束处保护层,经过仔细检查之后,发现一处主线CAN―H与CAN―L存在着破皮现象,并绞在了一起,引起短接现象。处理之后重新包好,对E42-1和E42-2两端子间电阻值再次进行测量,得到测量值为125欧姆,说明主线正常。插好E42插接器,对E10-6至E10-14两端子间的电阻值进行测量,得到数据为122欧姆,说明存在着开路情况,于是更换了CAN1号J/C。第五、试车。进行试车的时候,发动机还是不能起动。对机舱进行全面的检查,发现左侧ECM出现碰伤和微量变形的情况,更换了一个新的ECM。将点火开关断开之后,短接DLC3的4号和13号端子。将点火钥匙转到ON档,与微机通信三十分钟,用智能测试仪进行匹配后,再次启动的时候,一切正常,故障处理完毕。

⑶故障总结。对于车载网络通信线路来说,其故障主要包括断路和短路两种情况,不同故障的诱发原因各不相同。断路的引发原因主要包括:通信线路与电气配线断开、接头断开、电子控制单元电源或搭铁断开、电子控制单元内部出现故障;短路的引发原因主要包括:电子控制单元内的电器配线短路、通信线路短路。对于该辆汽车来说,由于车载网络主线中1号J/C存在着开路现象,主线存在着短路问题,ECM受到损坏,这些问题的存在引起发动机无法起动现象的出现,智能测试仪OBD-II无法进入。

4 结束语

总之,随着汽车技术和计算机技术的发展和进步,车载网络系统的运用越来越广泛。该系统不仅能够促进信息资源的共享,还能够简化布线,减少传感器的数量,提高整个系统的安全性和可靠性。此外,还系统的运用还有利于对系统的维修,降低维修成本,加强各控制系统的联系。随着汽车电子技术的不断发展和进步,汽车网络系统必将进一步升级,其灵活性必将更高,扩展性必将更强,抗干扰能力和纠错能力必将更强。而在进行线路故障诊断与排除的实际工作中,我们需要认真分析具体情况,详细了解系统的总线总线图,并注重专业资料的运用,利用相关的辅助仪器和设备,按照相应的方法和步骤对系统进行全面的检测,及时发现故障,排除故障,保证系统处于良好的状态,为车辆的正常运行和工作奠定良好的基础。

[参考文献]

[1]蔺宏良.宝马745Li汽车车载网络系统简析[J].拖拉机与农用运输车,2009(4).

[2]蔺宏良.车载通信网络的架构方式及应用研究[J].公路与汽运, 2011(1).

[3]裘玉平.车载网络系统结构原理与诊断技术研究[D].长安大学硕士学位论文2007.

第10篇

论文关键词:汽车类专业;职业教育;双证融通

近年来,“以服务为宗旨,以就业为导向,注重质量提高,重视内涵建设”的发展思路已经成为高职院校的共识。一批在高职教育教学改革处于领先地位的院校,对我国本土化的高职课程模式进行了积极的探索,逐步积累了一些经验,并正在形成独特的课程模式特色。这些高职院校高度重视教育教学改革,在办学理念、教学思想、人才培养模式等方面取得了巨大的成就。先进的办学理念有力地带动了专业教学改革,并逐步形成了较为鲜明的课程模式特色和教学特色。具体表现在两个方面:“双证”互通的课程模式走向成熟;实践导向的课程模式正在形成。但是,实践中也存在一些问题,如教师对高职课程改革的理念还比较模糊;反映高职教育特色的课程模式有待进一步探索;课程改革缺乏先进的理论指导;课程改革的动力需要进一步激发等。

汽车类专业职业能力与资格证书

汽车类专业(包括汽车制造与装配技术、汽车检测与维修技术、汽车电子技术、汽车改装技术、汽车技术服务与营销、汽车整形技术)的学历证书与职业资格证书的沟通,实质是通过两类证书及其教育培训的相互对应、相互承认,实现学历教育(以文化素质水平为主要标志)与职业培训(以职业技能水平为主要标志)的沟通与衔接,最终促进劳动者综合素质的提高。

学历证书必须反映职业能力。学历证书是受教育者综合文化素质和教育水平的反映。在普通教育中,它是文化程度的凭证;在职业教育中,则是文化程度和某类(项)职业能力水平的凭证。因此,在“双证融通”中必须将职业资格认证作为学历证书的内涵要求。

职业资格证书对应专业核心能力,所谓“双证融通”,指的是高职教育中以学历证书为标志的学历教育和以职业资格证书为标志的职业培训之间的一种融合和沟通。其实质就是两类证书内涵(即综合文化水平与职业技术/技能等级)的衔接与对应。要实现“双证融通”,首先要按照专业培养对象的未来岗位,针对专业核心能力确定专业相对应的职业类别,一般一个专业可以对应2~3个职业。其次,要确定职业资格等级与学历层次的对应关系,主要是文化水平要求与职业能力要求的对应。

汽车类专业“双证融通”培养模式

(一)以工作过程为导向的课程理念

课程建设、课程模式开发是一个系统工程,需要先进的理念支撑,必须结合示范性院校建设中的重点专业建设,借鉴国外职业教育课程改革的新范式,有效整合学校的教学与科研资源,全面推进课程改革。具体而言,当前高职院校在课程结构上应摒弃学科结构系统化的原则,确立以德国职业教育“学习领域”课程模式为代表的“工作过程系统化”的思想,对传统的课程模式进行颠覆性改革。为保证先进课程理念的引入,可聘请有关专家到校指导,以提高效率、少走弯路。

课程体系构建突出对学生职业岗位能力的培养,将职业标准引入课程体系,并贯穿于教学全过程。通过对职业岗位能力的分析,我们在课程教学体系中突出了汽车发动机检测与维修、汽车底盘检测与维修、汽车电器设备检测与维修等主干课程的建设和改革,构建了基础实训、专业实训、校外企业顶岗三个模块,以此支撑学生核心能力所需的知识和技能。同时,对课程设置及教学内容进行筛选、整合,将专业主干课设计为理论与实践相结合的综合实训课程,突出核心能力培养。

依据职业岗位能力要求的知识与技能,对课程内容进行优化。如将专业核心课程汽车发动机检测与维修,按照检测与维修项目进行教学内容的优化。课程内容的组织完全按照实际工作的基本过程与职业岗位的技能要求进行。 转贴于

(二)开展以“双师型”为核心的师资队伍建设

“教”应以“学”为前提,有什么样的学习过程就应有相应的教学过程,所以,教师角色定位要更多地以学生角色的改变为依据。首先,教师是教学过程中的辅导者,即教师对整个教学做整体计划与实施,确定任务后辅导学生完成作业。另外,教师是教学过程的指引者,教师对社会岗位需求的认识程度,对岗位技能的把握程度,直接决定了案例选择的侧重点,也直接影响着学生职业能力的培养。所以,实施任务驱动教学方法的教师负有重任。这就对教师提出了更高的要求:教师应是优秀的教学者、熟练的操作者和敏锐的岗位需求分析者。

提升师资队伍质量是保障“双证融通”教学模式实施的关键。要将专业教师定期到企业锻炼形成制度,有计划、有目的地安排教师到企业进行短期实习或顶岗实习。充分利用校内外实训基地,强化教师的职业技能培训,鼓励教师参加各类职业资格证书考试。在不影响教学的情况下,鼓励教师到企业兼职,参与专业实践。

要通过产学结合,建设一支相对稳定的既有较高的学术造诣、又有较丰富的实践经验的兼职教师队伍。聘请一定数量的来自于企事业单位的有关专家、学者和行业权威、骨干作为兼职教师,一方面满足专业教学的需要,另一方面更好地架起学校与社会之间的桥梁,有利于争取行业支持,使专业建设更贴近社会需求。

(三)融合职业标准与课程标准的“双证书”教材建设

引导高等职业教育实施“双证融通”的主导教学模式。所谓“双证融通”,就是坚持以国家职业资格标准为导向,采用高职学历证书与职业资格证书并重的教育模式,确保在教育教学中体现两个证书的水平,同步提高高职毕业生的科学文化素质和职业素养。对于汽车类专业来说,职业资格证书包括助理汽车改装工程师、汽车改装工程师、高级汽车改装工程师三个等级;汽车修理工初级、中级、高级三个等级;汽车装配工以及汽车商务营销师等。

第11篇

关键词:研究性教学;ARM嵌入式系统;研究性教学平台;研究性教学模式;研究性教学成效

作者简介:谭会生(1966-),男,湖南茶陵人,湖南工业大学电气与信息工程学院,副教授。(湖南株洲412008)

基金项目:本文系湖南工业大学教育教学改革教学之星专项(项目编号:2011C03)、湖南省教育厅大学生研究型学习与创新性实验计划项目(项目编号:2009-225)的研究成果。

中图分类号:G642.0     文献标识码:A     文章编号:1007-0079(2012)10-0070-02

一、开展本科生研究性教学的必要性和条件

研究性教学是一种新的教育理念,是一种新的现代学习观,它强调学习的自主性和开放性。在教师的研究性教学理念的引导下,教学设计被看成是一项系统工程,从研究思想、研究手段、研究策略等各方面进行教学过程的全新设计,激发学生的研究及探索科学问题的兴趣。学生运用探索的方法,对问题进行研究,最终获得知识。研究性教学理念要求教师通过自己的教学,培养学生做事和做人的能力和素质。

综合现有观点并结合作者多年实际开展研究性教学的实践,作者认为开展本科生研究性教学必要性如下:大众化高等教育的差异化教育的需要;提高大学生综合应用能力的需要;提高大学生实践动手能力的需要;提高大学生专业创新能力的需要;提高大学生专业综合素养的需要;改变大学生被动学习学风的需要。随着经济社会的发展和高等教育的大力发展,我国高等教育已由精英化教育转向大众化教育,学生群体出现多样化的趋势,学生学习兴趣、学习能力、学习需求的差异性日显突出。为了提高大众化高等教育的质量,更好地满足市场经济条件下对人才的高要求,笔者按人才培养方案组织教育的同时,对一些优秀和比较优秀的学生,根据社会发展的需求、学生的兴趣爱好、学生的职业规划等,进行加深与扩展,实现优才优教。

开展本科生研究性教学的主要条件如下:提高教师研究性教学的能力;激发学生研究性学习的积极性;提供研究性教学资源与教学场地;选择一个合适的有效平台;构建有效的研究性教学评价与评估体系。其中选择一个合适的有效平台,是研究性教学持续而有效开展的一个关键性因素。作为电类专业研究性教学的有效平台,应该方便学生进行软件仿真和硬件设计与制作,并具有综合性强、创新性强、成本低廉、灵活性强等优点。

二、基于ARM嵌入式系统的研究性教学的主要优势

嵌入式系统是指以应用为中心,以计算机技术为基础,并且软硬件可裁减,适用于应用系统对功能、可靠性、成本、体积、功耗有严格要求的专用计算机系统。嵌入式系统融合了计算机软硬件技术、通信技术和微电子技术,是集成电路发展过程中的一个标志性成果。可以预言,嵌入式系统将成为后PC 时代的主宰。

嵌入式系统具有非常广泛的应用,包括智能产品、工业自动化、办公自动化、电网安全、电网设备检测、石油化工、商业应用、安全防范、网络通信、汽车电子与航空航天以及军事等各个领域,是现代计算机技术改造传统产业、提升多领域技术水平的有力工具。

按照体系结构分类,目前世界上有四大流派的嵌入式处理器内核,即MIPS处理器内核、ARM处理器内核、PowerPC和68K/COLDFIRE。其中ARM架构处理器已在高性能、低功耗、低成本应用领域中占据领先地位,它在当今最活跃的无线局域网、3G、手机终端、手持设备、有线网络通信设备中得以广泛应用。

利用ARM嵌入式系统设计实现电子系统具有以下几个方面的优点:芯片内外资源丰富,硬件系统简单;可运行各种实时操作系统,降低了复杂系统应用程序开发的难度;可运行多种操作系统,应用程序开发难度降低,系统人机界面友好;系统数据处理能力强,控制精度高;有成熟的开发工具,丰富的开发资源和资料;目前ARM具有众多的开发人群,有助于降低企业项目开发成本、保持开发的连续性。

使用ARM嵌入式系统作为研究性教学的平台,它具有以下优点:技术先进、社会急需、综合性强、创新性强、成本低廉。

三、基于ARM嵌入式系统的研究性教学的研究模型

为了描述基于ARM嵌入式系统的研究性教学的研究背景、主要研究目标、主要研究内容以及主要研究期望,图1给出了基于ARM嵌入式系统的研究性教学模型。现将主要内容具体阐述如下:

1.利用ARM嵌入式系统开展研究性教学的研究目标

利用ARM技术开展研究性教学的研究目标,主要包括三个方面:基于ARM嵌入式系统的系统设计与实现基础训练;基于ARM嵌入式系统的系统设计与实现相关研究;基于ARM嵌入式系统的系统设计与实现课题研究。

2.利用ARM嵌入式系统开展研究性教学的研究内容

利用ARM技术开展研究性教学的研究内容,主要包括三个方面的内容:

(1)ARM嵌入式系统设计开发基础研究:主要包括ARM器件结构、ARM汇编语言、C/C++语言、操作系统移植、应用程序开发、驱动程序开发等嵌入式系统设计与实现基础理论、基本方法、基本工具的学习与使用。

(2)ARM嵌入式系统设计与实现相关研究:主要是与课题设计和实现有关的数字信号处理、数字图像处理、工业智能控制、网络通信控制、数字家电控制等基础理论、实现算法和系统仿真等研究,重点是实现算法的设计、选择和仿真。

(3)基于ARM的嵌入式系统设计与实现:主要包括系统设计需求分析、ARM实现硬件设计、ARM操作系统移植、ARM应用程序设计、ARM驱动程序设计、ARM系统组装与调试。

3.基于ARM嵌入式系统开展研究性教学的主要形式

基于ARM嵌入式系统开展研究性教学的主要形式,包括组建ARM嵌入式系统学习兴趣小组、课题系统设计与实现研究小组和选拔教师科研项目助理等,通过专题训练、分散研究、定期讨论、按需答疑、总结汇报等形式开展研究活动。

四、基于ARM嵌入式系统的研究性教学的主要成效

1.熟练掌握ARM嵌入式系统基础理论、基本方法、基本技巧、调试方法和调试技巧

从研究性学习训练学生的毕业设计论文的质量可以看出,他们熟练掌握ARM嵌入式系统基础理论、基本方法、基本技巧、调试方法和调试技巧,能够尽快地适应从事嵌入式系统设计与开发工作。

2.熟练掌握与ARM嵌入式系统设计开发课题相关的基础理论、基本方法、基本技巧

经过研究性学习训练的学生,无论是以前学习过并且掌握的、还是以前学过但似是而非的、或是以前根本没接触过需重新学习的,现在已熟练掌握与ARM嵌入式系统设计开发课题相关的基础理论、基本方法、基本技巧。

3.全面提高学生的综合应用能力、实践动手能力、创新创业能力和就业核心竞争力

通过研究性学习训练的学生,不但具有良好的参考文献查找能力、分析利用和文档处理能力,同时他们的综合应用能力、实践动手能力、创新创业能力大为提高,就业核心竞争力显著提高,80%的学生毕业时均能找到从事嵌入式系统设计与开发的工作,并且工资待遇也相当不错。

五、结论

实践结果表明,以课题为中心,以兴趣为纽带,以新兴的、有着广泛发展前途的ARM嵌入式系统的学习与应用为目标;采用ARM嵌入式系统学习兴趣小组、课题设计与实现研究小组、参加教师科研项目等形式;通过具体的专题训练、分散研究、定期讨论、按需答疑、总结汇报等活动;开展基于ARM嵌入式系统的电类专业本科研究性教学,对提高大学生综合应用能力、实践动手能力、创新创业能力;提高大学生的专业核心能力,就业的核心竞争力,培养从事嵌入式系统研究、设计与开发的高级人才,具有非常明显的成效。

参考文献:

[1]行龙.引入研究性教学理念,着力提高本科教学质量[J].中国高等教育,2007,(22):44-45.

[2]王金发.整合理念,构建开放式研究性的教学与学习新模式[J].中国高等教育,2007,(21):20-22.

[3]刘赞英,王岚,朱静然,等.国外大学研究性教学经验及其启示[J].河北科技大学学报(社会科学版),2007,(1):68-75,50.

[4]张伟刚.大学研究性教学与科研方法[J].高等理科教育,2009,(2):65-69.

[5]瞿振元.以培养拔尖创新人才为核心提升教育质量[J].中国高等教育,2008,(1):19-22.

[6]朱崇实.研究型大学创新型人才培养的思考与探索[J].中国高等教育,2007,(21):17 -19.

[7]李昌新,刘亮,庄森.论大学研究性教学的价值取向及实施条件[J].高等农业教育,2011,(3):19-21.

[8]马维华.嵌入式系统原理及应用[M].北京:北京邮电大学出版社,2006.

[9]李新峰,何广生,赵秀文.基于ARM9的嵌入式Linux开发技术[M].北京:电子工业出版社,2008.

第12篇

1.1  课题背景、目的和意义

1.1.1  课题的背景 

众所周知,汽车是当今世界主要的交通工具之一。随着人民生活水平的不断提高,汽车越来越成为人们生活中不可缺少的一部分,从世界上第一辆T型福特车被盗开始,偷车已成为现今城市最常见的犯罪行为之一。随着汽车数量的增加,特别是轿车正以很快的速度步入家庭,车辆被盗的数量逐年上升,这给社会带来极大的不安定因素,担心车辆被盗,成为困扰每一位汽车用户的难题。人们为了车辆的安全大都安装了汽车防盗报警系统。汽车防盗报警器的使用在很大程度上有效地保护了国家和人民生命财产的安全。使人们有了安全的依靠。同时车辆防盗报警器的使用也减少了盗窃犯罪事件的发生,起到了一定的威慑作用。随着科学技术的进步,为对付不断升级的盗车手段,人们研制开发不同方式结构的防盗器。

目前汽车防盗装置已经由初期的机械控制,发展成为电子密码、遥控呼救、信息报警等高科技产品,早期的防盗装置主要用于控制门锁、门窗、起动器、制动器、切断供油等联锁机构,以及为防止盗贼拆卸零件而设计的专用套筒扳手。随着科技的发展,汽车防盗装置日趋严密和完善,目前防盗器按其结构与功能可分四大类:机械式、电子式、芯片式和网络式,各有优劣,但汽车防盗的发展方向是向智能程度更高的芯片式和网络式发展[1]。

1、机械锁

机械锁是最常见也是最早的汽车防盗器,现阶段在高中档汽车中几乎不被使用,即便是低档汽车也已经很少单独使用,主要和电子式、芯片式联合使用,分为方向盘锁和变速箱锁两大类。其中方向盘锁在使用时,主要是将方向盘与制动脚踏板连接一起,使方向盘不能作大角度转向及不能制动汽车。而安装变速箱锁是在换挡杆附近安装变速锁,可使变速箱不能换挡。通常在停车后,把换挡杆推回P位或Ⅰ挡位置,加上变速箱锁,可使汽车不能换挡。方向盘锁和变速箱锁、钩锁等这些机械式防盗器,主要是靠锁定离合、制动、油门或转向盘、变速杆来达到防盗的目的,但只能防盗不能报警。另外,机械式防盗锁靠坚固的金属结构锁住汽车的操纵部位,使用起来不隐蔽,且占用驾驶室空间;机械锁主要起到限制车辆操作的作用,对防盗方面能够提供的帮助非常有限,很难抵挡住生猛型盗车贼手中撬棍、钢锯、老虎钳等重型工具的盗窃。但它们能拖延偷车贼作案的时间却是事实,一般偷车贼要用几十秒甚至几分钟才能撬开方向盘锁,变速杆锁的破坏时间还要长一点。

2、电子式防盗系统

在现阶段,应用最广泛的是电子式防盗锁。机械防盗装置是预防汽车被盗的装置,但这种装置不能防止他人进入驾驶室、车内,打开行李箱、发动机罩或起动发动机等。而电子防盗装置不仅能可靠地防止汽车被盗,而且能防止他人拆卸某些汽车零件和进入车内。电子防盗报警器,也称微电脑汽车防盗器,是目前使用最广泛的类型,包括插片式、按键式和遥控式等电子式防盗器。它主要是靠锁定点火或启动系统来达到防盗的目的,同时具有声音报警功能。这种防盗器共有4种功能:

(1)服务功能,包括遥控车门、遥控起动、阻吓窃贼作用等;

(2)警惕提示功能,具有触发报警记录(提示车辆曾被人打开过车门);

(3)报警提示功能,即当有人动车时发出警报;

(4)防盗功能,当防盗器处于警戒状态时,切断汽车上的起动电路,使汽车无

法起动。

该类防盗器安装隐蔽,功能齐全,无线遥控,操作简便,但需要靠良好的安装技术和完善的售后服务来保证。电子防盗系统的致命弱点在于其电子密码和遥控操作方式,当车主用遥控器开关车门时,匿藏在附近的偷车贼可以用接收器或扫描器盗取遥控器发出的无线电波或红外线,再经过解码,就可以开启汽车的防盗系统。另外这类电子防盗报警器的使用频率普遍被限定在300~350MHz的业余频段上,而这个频段的电子波干扰源又多,电波、雷电、工业电焊等都会干扰它而产生误报警。如上海通用别克系列轿车上配置的PasskeyⅡ和PasskeyⅢ防盗系统就是电子式防盗系统。

3、芯片式数码防盗系统

电子防盗系统固然有其优点,但随着时间的推移,人们研制出了更先进性的替代品,这就是目前在汽车防盗领域位居重点的芯片式数码防盗器。由于特点突出且使用方便,大多数轿车均采用这种防盗方式作为原配防盗器。目前很多高档车,如国产的大众、广州本田、派力奥、爱丽舍、毕加索等车型已装有原厂的芯片数码防盗系统。芯片式数码防盗器基本原理是锁住汽车的马达、电路和油路,在没有芯片钥匙的情况下无法启动车辆。数字化的密码重码率极低,而且要用密码钥匙接触车上的密码锁才能开锁,杜绝了被扫描的弊病。

    目前芯片式防盗已经发展到第四代,最新面世的第四代电子防盗芯片,具有特殊诊断功能,即已获授权者在读取钥匙保密信息时,能够得到该防盗系统的历史信息。系统中经授权的备用钥匙数目、时间印记以及其他背景信息,成为收发器安全特性的组成部分。第四代电子防盗系统除了比以往的电子防盗系统更有效地起到防盗作用外,还具有其他先进之处,如它独特的射频识别技术可以保证系统在任何情况下都能正确识别驾驶者,在驾驶者接近或远离车辆时可自动识别其身份自动打开或关闭车锁;无论在车内还是车外,独创的TMS37211器件都能够轻松探测到电子钥匙的位置。国内不少厂商通过引进国外先进技术开发出了我国的芯片式防盗系统,如爱丽舍应答式防盗系统是在BOSCH MP5.2电喷系统的基础上增加了一套新系统,它可以用来锁定和解锁发动机电脑,从而来实现车辆的防盗功能,当插入一把带有应答器的正确钥匙并打到“M”位时,系统自动完成对码、解锁发动机电脑,否则发动机电脑处于闭锁状态,发动机点火和喷油的控制被切断,汽车无法起动。

4、网络式防盗系统

网络防盗是指通过网络来实现汽车的开关门、启动马达、截停汽车、汽车的定位以及车辆会根据车主的要求提供远程的车况报告等功能。网络防盗主要是突破了距离的限制。目前主要使用的网络有:无线网络(BB机网络)、卫星定位跟踪系统(简称GPS),其中应用最广的就是GPS。

GPS系统全称为“全球卫星定位系统”。实际上,此技术是美国耗资100多亿美元,历时20多年发展的一大航天工程。1991年在海湾战争中被首次使用,海湾战争之后,GPS技术在非军事领域得到了更加深入的应用,在汽车反劫防盗领域已 取得实际效果。GPS卫星定位汽车防盗系统属于网络式防盗器,它主要靠锁定点火或起动来达到防盗的目的, GPS应用于汽车反劫防盗服务就得益于卫星监控中心对车辆的24小时不间断、高精度的监控服务。该系统由安装在指挥中心的中央控制系统、安装在车辆上的移动GPS终端以及GSM通信网络组成,接受全球定位卫星发出的定位信息,计算出移动目标的经度、纬度、速度、方向,并利用GSM网络的短信息平台作为通信媒介来实现定位信息的传输,具有传统的GPS通信方案所无法比拟的优势。缺点是价格昂贵,每月要交纳一定的服务费。一些常见的品牌有赛格、华强、迈吉贝斯、大三通等等,这类产品因费用太高等各方面原因,目前在市场上还不普及,市场占有率非常低。

1.1.2  课题的目的和意义

本课题采用现代数字系统设计的思路和自顶向下的EDA设计方法,针对当今社会汽车防盗越来越重要的现实问题,通过VHDL语言软件编程设计基于可编程器件的汽车电子防盗报警系统,达到了实时监测非法入侵、及时声光报警、启动/解除警戒和禁止非法使用发动机移动车辆等基本要求,为汽车防盗报警方面进一步研究,扩展更实用更全面的功能,设计更完善的产品打下了基础。通过设计掌握EDA设计的基本思路、VHDL语言编程方法,有限状态机的设计方法以及掌握开发软件Xilinx ISE,仿真软件Modelsim和综合工具Leonado Spectrum的运用。

1.2  论文的主要内容

本文由五章的内容构成,绪论部分介绍了当今汽车防盗报警系统发展的状况,说明了本课题的目的和意义以及论文主要内容。后面的四章对系统的设计过程和实现过程作了详细阐述。第2章对系统进行整体分析和模块划分;第3章详细介绍了系统四个模块和系统顶层的程序设计编写过程;第4章主要是系统四个模块的独立调试与仿真;最后一章是系统顶层的实现过程,也是整个系统的实现过程,这一章对系统实现的流程做了总体的介绍。

1.3  本章小结

本章主要介绍了当今社会汽车防盗报警系统发展状况,现有的种类和特点以及本课题的目的和意义并对论文主要内容作了简要概述。

第2章 汽车防盗报警系统整体设计

2.1  系统分析与设计

2.1.1  设计要求

本课题要求设计一种基于可编程逻辑器件的汽车防盗报警电子系统,完成软件部分设计,得出系统功能仿真结果。其具体设计指标要求如下:

(1)启动/解除警戒,灯亮表示启动,灯灭表示解除警戒;

(2)实时检测非法入侵,检测到非法入侵,锁住车门;

(3)禁止非法使用发动机移动车辆,一旦非法使用发动机,锁止发动机并锁住

车门;

(4)及时声光报警,采用喇叭发声和三个灯轮流点亮的方式进行声光报警。

2.1.2  系统分析

从本系统的设计要求来分析,软件设计可以采用原理图设计和硬件描述语言设计。硬件描述语言(Hardware Description Language, HDL)属于文本输入方法的范畴,是一种用形式化方法来描述数字电路和设计数字逻辑的语言,这种设计方法也被称为高层次设计(High-Level-Design)方法,其设计流程示意图如图2-1所示。

 

                          图2-1 HDL设计流程的示意图

相对于原理图设计,硬件描述语言设计具有很多优点:开发效率高、便于移植、便于文档管理、便于仿真、可以开发出非常复杂的数字系统等等。因此本系统采用硬件描述语言设计。硬件描述语言有VHDL语言和Verilog HDL语言,这两种语言都具备HDL的优点,Verilog HDL推出比VHDL早,它模仿C语言,语法简单,风格自由,比较容易掌握,而VHDL是基于Ada语言的,追求语法的严格性,学习起来相对烦琐一点,但是严格的语法在一定程度上限制了错误的产生,调试起来可能会容易一些[2]。VHDL的系统抽象能力比Verilog HDL要强一些,在系统级的描述上占一定的优势,本系统设计采用VHDL语言编写程序代码。

整个系统是一个数字系统,非法入侵信号采用数字信号模拟,用高电平‘1’表示车辆受到非法入侵,用低电平‘0’表示不存在非法入侵。灯光报警要求每个灯持续一个确定的时间,这就需要一个标准时钟信号,而标准时钟信号都是从外部晶振中得到的,本设计采用频率为1MHZ的外部晶振,在设计中需要考虑时钟的换算。

2.2  系统工作原理及模块划分           

系统的工作原理:启动系统装置,系统进入检测信号状态,同时检测非法入侵信号和非法使用发动机的信号,若无非法信号,系统将一直处于检测状态,当检测到非法入侵信号时,进行声光报警,并锁住车门;当检测到非法使用发动机信号时,进行声光报警,并锁止发动机以及锁住车门。解除系统报警,系统停止工作。

根据系统分析及系统工作原理,把整个系统划分成四个模块:启动/解除警戒模块、检测信号模块、声音报警及锁止模块和光报警模块 ,系统结构图如图2-2。

 

图2-2 系统模块构成图

四个模块的功能是:启动/解除警戒模块用以启动或解除整个防盗报警系统,使装置进入或退出正常工作状态;检测信号模块检测汽车是否存在异常移动、异常振动、异常提升、车身异常切斜和非法启动发动机等异常情况;声音报警及锁止模块发出声音报警,并锁住车门,锁止非法启动发动机信号;光报警模块通过LED灯闪烁发出报警信号;

2.3  本章小结

本章主要介绍了系统设计的一些要求及性能指标,并对整个系统进行了分析,选择了适合的设计输入方式和硬件描述语言。通过分析系统的工作原理,对系统进行了模块的划分,总共划分为四个模块:启动/解除警戒模块、检测信号模块、声音报警及锁止模块和光报警模块。

第3章 系统软件设计

3.1  VHDL编程语言

VHDL的全称为VHSIC(Very High Speed Integrated Circuit)Hardware Description Language,它的中文译名为超高速集成电路的硬件标准语言。模块化和自顶向下,逐层分解的结构化设计思想贯穿于整个VHDL设计文件之中。VHDL将所设计的任意复杂的电路系统均看作一个设计单元,可以用一个程序文件来表示。一个完整的VHDL语言程序通常包含实体、构造体、配置、程序包和库五个部分。实体是声明到其他实体或其他设计的接口,即定义本设计的输入/输出端口;构造体是用来定义实体的实现,即电路的具体描述;配置为实体选定某个特定的结构体;程序包则用来声明在设计或实体中将用到的常数、数据类型、元件及子程序等;库用以存储预先完成的程序包和数据集合体。这五个部分并不是每一个VHDL程序都必须具备的, 其中只有一个实体和一个与之对应的构造体是必须的。

VHDL编程语言具有以下主要优点[3]:

(1)具有强大的功能,覆盖面广,描述能力强,可用于从门级、电路级直至系

统级的描述、仿真和综合。

(2)有良好的可读性,它可以被计算机接受,也容易被读者理解。用VHDL书

写的既是源文件又是文档。

(3)有良好的可移植性,作为一种已被IEEE承认的工业标准VHDL事实上已

成为通用的硬件描述语言,可以在不同的设计环境和系统平台中使用。

(4)使用VHDL可以延长设计的生命周期。

(5)VHDL支持对大规模设计的分解和已有设计的再利用。

3.2  系统模块设计

3.2.1  启动/解除警戒模块设计

此模块用于启动/解除整个系统,使系统进入/退出正常的工作状态。用一个开关来控制系统的启动和解除,并用一个led灯来显示系统的状态。开关接通,即输入为高电平‘1’时,系统进入正常的工作状态,led灯点亮。开关断开时,输入的为低电平‘0’,系统退出正常工作状态,led灯熄灭。这用IF语句的双路选择结

构实现,其中sta表示开关控制信号,led1表示led 灯信号,程序见附录。

3.2.2  检测信号模块设计

信号检测模块的任务是检测非法入侵信号和非法启动发动机信号,非法入侵信号包括汽车异常移动、异常振动、异常提升、车身异常切斜四个信号,总共五个非法信号。整个模块采用有限状态机来进行设计,下面先对有限状态机作介绍。

状态机是包括一组寄存器的电路,该寄存器的值称为状态机的状态。状态机的状态不仅和输入信号有关,而且还与寄存器的当前状态有关。状态机可以认为是组合逻辑电路和寄存器逻辑电路的特殊组合,它包括两个主要部分:组合逻辑部分和寄存器部分。寄存器逻辑用于存储状态机的状态,组合逻辑包括两部分:状态译码和输出译码。状态译码用于确定状态机下各时钟周期的状态值,即确定状态机的激励方程,而输出译码确定状态机的输出,即确定状态机的输出方程。

最一般和最常用的状态机通常包括说明部分、状态更新进程、状态译码进程、辅助进程等几个部分。说明部分用于说明状态机中的状态情况和定义状态机的现态和次态;状态更新进程就是状态机的主控时序进程,它负责状态机运转和在时钟驱动下负责状态转换的进程;状态译码过程也就是主控组合进程,它的任务是根据外部输入的控制信号(包括来自状态机外部的信号和来自状态机内部其它非主控的组合和时序进程的信号),或/和当前状态的状态值确定下一状态的取向,以及确定对外输出或对内部其它组合或时序进程输出控制信号的内容。辅助进程用于配合状态机工作的组合或时序进程。例如为了完成某种算法的进程,或用于配合状态机工作的其它时序进程,或为了稳定输出设置的数据锁存器等[4]。

状态机分为同步状态机和异步状态机。同步状态机使用全局时钟信号,所有寄存器的时钟都是同一个,这使得状态的改变只发生在时钟的上升沿。异步状态机则利用组合逻辑电路的传播延迟来实现状态的存储功能,这样的状态机难于设计,而且很不稳定,一般情况设计同步状态机。

在状态机的输出的产生过程中,根据输出译码是否使用输入信号可分为两类状态机:摩尔状态机和米利状态机。在摩尔状态机中,输出只与当前状态有关,而在米利状态机中,输出不仅和当前状态有关,还与输入状态有关。此模块设计采用的是米利状态机。米利状态机的模型如图3-1所示。

 

图3-1 米利状态机模型

本模块总共八个输入信号,其中五个非法信号分别为a1,a2,a3,a4,b,一个时钟信号clk,一个复位信号 rst,一个启动输入信号start1;一个检测结果输出信号q。整个模块采用一个米利状态机来实现,它包括四个进程,分别是状态更新进程,状态译码进程,辅助进程和输出译码进程。状态机中设计了两个状态,为状态st1和st2,状态st1表示检测信号状态,状态st2表示报警状态。状态转移如图3-2所示。

 

图3-2 检测信号模块的米利状态机转移图

一开始工作状态机进入检测信号状态st1进行实时监测,当检测到非法信号,状态机转移到报警状态st2。在这里,非法信号为a1,a2,a3,a4,b,它们都是高电平‘1’有效,把这五个信号相或作为判断条件,即是其中任一个非法信号有效,则判断条件成立,状态机就转移到报警状态。一旦进入报警状态st2,将持续5s的报警,不管此时是不是还有非法信号存在,5s报警完成后,状态机继续判断是不是还存在非法信号,如果存在,则继续报警,否则回到检测状态st1继续进行实时监测。

在程序的编写中状态更新进程是一个很重要的部分,它既用于状态机的复位,同时也负责整个状态机的状态更新,此进程VHDL代码如下:

process( clk , rst )     --每个时钟上升沿,更新状态

          begin

                 if rst = '1'  then                --状态机复位

                   cur_state <= st0 ;

               elsif clk'event and clk = '1' then  --状态更新   

                   cur_state <= next_state;

                 end if ;

         end process ;

状态译码进程也是起着关键作用的一部分,在这里,它实现检测信号状态st1和报警状态st2之间的转移。处于报警状态的时候必须有持续5s的报警状态,这一功能将在辅助进程中实现,因而这里就需要设置一个标志位flag,并在程序中进行声明,如下:

signal flag : std_logic;

且需要把标志位放到译码进程的敏感表中,flag作为st2时发生状态转移的一个条件。状态机状态转移程序如下:

       case cur_state is

     when st1 => if (a1 or a2 or a3 or a4 or b) = '1' then

                    next_state <= st2;

                else

               next_state <= st1;

         end if;

     when st2 => if flag = '1'  then 

                       if (a1 or a2 or a3 or a4 or b) = '1'  then

                            next_state <= st2;

                  else

                            next_state <= st1;

                       end if;

                    else

                            next_state <= st2;

        end if;

          end case;

上面提到的持续5s的报警状态将在辅助进程里实现,在这里采用一个计数器来实现这项功能,由于外部提供的是频率1MHZ的晶振,所以计数器不能只是简单的计5个数,而是需要先对计数器计数值进行换算,换算如下:1MHZ的晶振提供的时钟的周期为1us,要计时5s,则必须计数5s/1us= 5000000次,换算成二进制,则为10011000100101101000000,计数器从0开始计数,则需要计数到10011000100101100111111。计数器在状态st2中实现,但在状态st1中需要先对计数器以及标志位f lag赋初值。在计数器计数的同时,同样的也要对标志位flag进行赋值,以便跟译码进程关联起来。计数器的程序编写如下:

if clk'event and clk = '1' then               

                      if cnt = "10011000100101100111111"  then

                            cnt <= "00000000000000000000000";

                            flag <= '1';

                 else   cnt <=  cnt + '1';

                            flag <= '0';

                 end if;

             end if;

状态机的前几个进程编写完成后,接下来就是编写它的输出进程。本状态机为米利状态机,输出与输入信号有关,这里有关的输入信号为启动输入信号start1,它是高电平‘1’有效,因此在输出条件判断上不仅要判断状态机的状态还要判断输入信号是否有效。

3.2.3  光报警模块设计

本模块用于光报警,通过三个led灯依次轮流点亮来发出报警信号,其中每个led灯点亮的时间均为2s。输入信号总共有三个:时钟信号clk,复位信号rst, 报警触发信号q1;输出为红灯输出r,绿灯输出g,黄灯输出y三个信号。此模块用的也是一个状态机来实现,它也由状态更新进程,状态译码进程,辅助进程和输出译码进程四个进程组成,辅助译码进程用于实现三个led灯持续点亮时间的计数。与检测信号模块不同的是,它是一个摩尔型状态机。摩尔状态机的模型如图3-3。

状态机设计了四个状态:无灯亮状态s0,红灯亮状态s1,绿灯亮状态s2,黄灯亮状态s3。状态转移图如图3-4所示。

  图3-3 摩尔状态机模型

 

                      图3-4 光报警模块的摩尔状态机转移图

状态图中的flag1,flag2,flag3为状态机中设置的三个标志位,高电平‘1’有效,它们分别用于判断红,绿,黄三个状态是不是已经计时够2s,如果满足这样的条件,则作为s1,s2,s3三个状态向下一状态转移的一个判断条件。在判断标志位之前,还需要判断另一条件:q1是否为‘1’,如果为‘1’,则再判断标志位是否有效,如果不为‘1’,则转移到s0状态。最开始状态机处于无灯亮状态,当输入报警信号q1为有效电平高电平‘1’时,转移到红灯亮状态s1,此时,如果q1持续为‘1’,则红灯持续亮2S,即当flag1为‘1’时,就转移到绿灯亮状态s2,同样的绿灯也持续点亮2s,当flag1为‘1’时,转移到黄灯亮状态s2,紧接着黄灯点亮2s,flag3为‘1’,然后又到红灯点亮,这样一直循环点亮发出报警信号。状态机状态转移程序如下:

      when s0 =>if  q1= '1' then

                  next_state <= s1;

               else

             next_state <= s0;

         end if;

         when s1 => if q1 = '1' then

                 if flag1 = '1' then

                     next_state <= s2;

                 else

             next_state <= s1;

         end if;

          else   next_state <= s0;

          end if;              

        when s2 => if q1 = '1' then

                 if flag2 = '1' then

                     next_state <= s3;

                 else

                next_state <= s2;

                    end if;

                 else   next_state <= s0;

         end if;

        when s3 => if q1 = '1' then

                 if flag3 = '1' then

                     next_state <= s1;

                 else

                next_state <= s3;

                   end if;

                  else   next_state <= s0;

          end if;

红,绿,黄三个led灯持续点亮的时间用三个计数器来实现,计数器写在状态机的辅助进程里。三个标志位flag1,flag2,flag3以及三个计数器都需要先在状态s0的时候赋初值。外部提供的时钟是频率为1MHZ晶振,在设置计数器的计数值时,需要先进行换算,换算方式与检测信号模块中的计数器值换算方式一样,经过换算,每个计数器计数的值为:0到111101000010001111111。当状态机进入s1,s2,s3状态任一状态时,其对应的计数器开始计数,当计数到111101000010001111111时,即是计时完2s时,标志位置‘1’,这样,s1,s2,s3三个状态就可以循环转移了。s1状态下的计数器程序如下,s2,s3状态下的计数器与此相似。

if clk'event and clk = '1'  then           

                   if cnt1 = "111101000010001111111" then

                        cnt1 <= "000000000000000000000";

                        flag1 <= '1';

              else  cnt1 <= cnt1 + '1';

                        flag1 <= '0';

              end if;

         end if;

摩尔状态机的输出进程只与当前状态有关,与输入信号无关,在本状态机中,只要根据系统的当前状态即可决定输出值,当为无灯亮状态s0时,三个输出信号r,g,y全为‘0’;当为红灯亮状态s1时,只有r为‘1’;当为绿灯亮状态s2时,只有g 为‘1’;当为绿灯亮状态s3时,只有y为‘1’。

3.2.4  声音报警及锁止模块设计

本模块不仅用于实现声音报警,还用于锁止非法启动发动机信号以及锁住车门。输入信号有:启动信号start1,非法启动发动机信号b1,非法信号q2。输出信号有:锁止发动机输出led_b,锁住车门输出led_door,声音报警输出alarm。设计中先判断启动信号是否为有效信号高电平‘1’,如果有则判断是否有非法启动发动机信号,如果有就锁止发动机,锁住车门并发出声音报警;如果没有非法启动发动机信号,就接着判断是否存在其它的非法信号,若存在,则锁住车门并发出声音报警;如果启动信号为‘0’,则所有输出信号都为‘0’。此模块用If语句中的多路控制语句来实现即可。

3.3  系统顶层设计

    完成系统的四个模块设计后,就需要编写系统的顶层文件,把整个系统连接起

来。在系统顶层的设计中,用构造体的结构描述方式进行程序的编写。所谓构造体的结构描述方式,就是在多层次的设计中,高层次的模块调用低层次的设计模块,或者直接用门电路设计单元来构成一个复杂的逻辑电路的描述方法。这里就要用到component语句和component_instant语句,component语句的基本书写格式如下:

COMPONENT 元件名                   

GENEIRC 说明;--参数说明              

PORT 说明;--端口说明

END COMPONENT

component_instant语句的基本书写格式如下:

标号名:元件名

PORT  MAP(信号,…)

标号名加在元件名前,在该构造体的说明中该标号名一定是唯一的。下一层的端口信号与实际连接的信号用PORT  MAP的映射关系联系起来。这里用的映射方式是在PORT  MAP端上加上component各输入输出端的名称,用’=>’把信号关联起来,这样就可以很清楚的知道各信号是怎样一一对应连接的[5]。

本系统的顶层设计的对外接口有输入信号start,a1,a2,a3,a4,b,时钟信号clk,复位信号rst, 输出信号led1,led_b,led_door,r,g,y,alarm。在设计中先对对外端口 进行声明,接着要申明的是在顶层设计中用到的四个模块的component,其声明的位置是在architecture与begin之间。接着还要声明一些内部信号,作为component间连接用,在这里只需要声明一个信号aa,它用于连接检测信号模块与光报警模块、声音报警及锁止模块。最后在architecture的begin与end间,则是用component_instant将信号相连接。这样,整个系统设计就完成了。整个系统的程序源代码见附录。

3.4  本章小结

在系统软件设计这一部分,先对要用的编程语言VHDL做了相关的介绍,列出了它的一些优点。在本章中对系统的各个模块以及系统顶层的设计作了详细的说明。启动/解除警戒模块通过led灯显示系统工作状态,启动警戒灯亮,解除警戒灯灭;检测信号模块采用米利型有限状态机实现,实现了实时监测系统的功能;光报警模块采用摩尔型有限状态机来实现,实现了红绿黄三个led灯依次轮流闪烁进行光报警的功能,其中每个灯亮两秒;声音报警及锁止模块和光报警模块实现了声音报警,并具有锁住车门,锁止汽车发动机的功能。系统顶层设计是整个设计的一个重要组成部分,主要通过利用构造体的结构描述方式把整个系统连接了起来,实现所有子模块的功能。

第4章 系统模块实现

4.1  调试与仿真综合软件介绍

系统用VHDL语言编程,采用VHDL语言仿真软件Xilinx ISE进行代码调试。Xilinx ISE集成综合环境是美国Xilinx公司的现场可编程逻辑器件数字电路开发工具集,其集成的工具可以使设计人员方便、快速的完成FPGA/CPLD数字开发电路全过程。Xilinx ISE是Xilinx公司EDA软件开发系统,是一个集成化环境,主要由项目导航工具,设计输入工具,逻辑综合工具,设计实现工具,设计约束图形编辑接口等组成一个平台。项目导航工具是基本窗口界面,用来访问ISE软件系统的各种工具箱;设计输入工具包括:电路逻辑图输入工具——电路图编辑器、硬件描述语言输入工具——硬件描述语言编辑器、状态机编辑器、硬件描述语言测试生成器;逻辑综合工具将硬件描述语言代码经过综合优化后输出EDIF格式电路逻辑连接(网表);设计实现工具用于面向FPGA的设计实现中的布局布线,并且可以对网表反标注以便提供给仿真工具进行后仿真验证;设计约束图形编辑接口包含图形化的约束编辑接口,实现控制逻辑块的位置约束和时间约束[6]。

系统采用Xilinx ISE进行调试,完成了设计输入并成功的进行了编译,只能说明设计符合一定的语法规范,并不能保证设计可以获得所期望的功能,这时就需要通过仿真对设计进行验证,这里就要用到第三方软件—Model Technology公司的ModelSim仿真软件。ModelSim是一个独立的仿真工具,它在工作的时候并不需要其它软件的协助,在Xilinx公司的ISE集成开发环境中给ModelSim仿真软件预留了接口,通过这个接口可以从ISE集成环境中直接启动ModelSim工具进行仿真[7]。使用此方法启动ModelSim工具需要具备三个条件:第一,启动ISE集成环境并建立一个FPGA/CPLD的工程项目;第二,添加设计源代码并且编译通过;第三,使用ISE中的Test Fixture 或Test Bench Waveform工具为当前的设计提供一个测试模板(Testbench),并且在测试模板中添加设计激励,或者在相应的工程下建立一个sim.do文件,在文件里设置信号激励。ModelSim仿真工具在默认条件下提供九种不同的用户窗口:主窗口、结构窗口、源程序窗口、信号窗口、进程窗口、变量窗口、数据流窗口、波形窗口以及列表窗口等。本设计中主要用到的是主窗口和波形窗口。主窗口在ModelSim启动时就直接打开,是所有其他窗口运行的基础,它分为工作区和脚本区,通过工作区可以很方便的对当前工程的工作库以及所有打开的数据集合进行控制,通过脚本区可以在ModelSim的提示符下输入所有ModelSim的各种命令,并且可以将命令执行后的信息反馈回来,以便用户了解命令的执行情况。通过波形窗口可以观察仿真的波形文件。

系统仿真完毕,接着需要用综合工具进行综合。Xilinx ISE软件有内嵌的综合工具XST,虽然安装ISE后可以直接使用XST,但是它的综合功能不全面,相对综合能力较差一点。因此本设计采用Mentor Graphics公司的Leonardo Spectrum综合工具进行系统综合。Leonardo Spectrum提供了先进的综合技术,支持增量设计、模块化设计,以及小组设计方法。简单易用,可控性较强,可以在Leonardo Spectrum中综合优化并产生EDIF文件。在ISE设计环境中,ISE提供与Mentor Graphics 的Leonardo Spectrum的无缝集成。 只需按一个按钮,就可开始综合系统。

4.2  系统模块实现

双击桌面上的Project Navigator的快捷方式打开ISE开发环境,点击主菜单上Edit->preferences,出现一个preferences,点击Integrated Tools,在Model tech Simulator下面的路径栏选择modelsim.exe的路径,在LeonardoSpectrum下面的路径栏选择leonardo.exe的路径,然后确定,这样就在ISE中把ModelSim以及LeonardoSpectrum关联起来了。接着就可以通过主菜单上File->New Project 建立新的工程了。新建工程中,其Project Properties的设置图4-1所示:

 

                 

图4-1 工程属性设置

建立工程后,在Process View窗口中右键点击Compile HDL Simulation Libraries,

选择Properties,出现一个窗口,在Target Simulator项选择ModelSim SE,在Simulator

Path项选择Modeltech下Win32文件夹的路径,确定,然后双击Compile HDL Simulation Libraries,就进行ModelSim仿真库的编译了,只有编译了仿真库,之后的仿真才能顺利的进行。

4.2.1  启动/解除警戒模块实现

建立了新的工程,在工程中添加新的on_off.vhd源文件,在源文件写入启动/解除报警模块的程序代码,保存以后就可以进行编译了。这个程序比较的简单,编译一次就通过了。这时就可以通过ModelSim进行仿真了,仿真之前先在对应的程序目录下新建一个sim.do文件。此模块sim.do的内容如下:

force sta 0 0,1 100,0 1000,1 2000,0 5000

run 10000

force和run都是命令词,force命令给VHDL信号予以激励,它的常规语法如下:

force <item_name> <value> <time>,<value> <time>

item_name表示被激励的信号的名称;value是被强制的项的值,它必须适合项的数据类型;time指指定值的时间单位,它是相对于当前的仿真时间。run命令后面的参数表示仿真即将运行的时间 。

通过ISE启动ModelSim仿真环境,然后选择Workspace下的Library,接着点击主菜单上的Tools->Execute Macro…,出现一个窗口,选择对应的do确认,仿真开始运行,完成后即可在仿真波形窗口看到仿真波形。在这里需要强调一点,在仿真之前一定要选择Workspace下的Library,因为环境的默认项是sim选项,如果不进行选择或则选择其它项,仿真就会出现错误,不能顺利仿真。在刚开始仿真的时候,本人就没有注意到这一点,结果就出现问题了。启动/解除警戒模块的仿真波形图如图4-2。

观看波形图,通过分析知,功能仿真结果符合程序编写要求。之后,通过Leonardo Spectrum 综合工具对本模块进行综合实现。

4.2.2  检测信号模块实现

    在建立的新工程里添加一个新的源文件test.vhd,把设计好的信号检测模块的VHDL语言程序代码写入到文件中,保存。接下来的操作都与启动/解除报警模块的类似。最先设计这个模块的程序编写的时候,用的状态机是摩尔型的,除了检测

图4-2 启动/解除警戒模块的仿真波形图

信号状态和报警状态,还多设置了一个等待状态s0,它通过输入信号led1的值来判断状态机的下一个转移,即是当整个系统启动时,由等待状态进入检测信号状态。由于设置了这一状态,因此在状态机的输出进程中就与输入信号无关了。当时理论上分析认为这样的设计应该是可以实现想要的功能的,可通过分析仿真结果,发觉这样的设计并不能完全的实现模块应有的功能。一开始系统开启,得到的结果是正确的,可开启之后再解除,状态机却仍然在状态s1,s2之间转换,输出结果仍然有效,这样的话,就没有实现该实现的功能。结果不正确,就需要修改源程序,通过多次的修改和调试,最后在程序中用米利型状态机状态机设计,功能仿真结果相符。由于源程序中使用了23位的二进制计数器,计数的值太大,在仿真中耗时太长,因而在程序中把计数器设置为8位的二进制计数器,这样就能比较及时的看到和分析仿真结果,这样的修改不影响模块的功能实现。

仿真前建立的sim.do中,始终信号的设置如下,在下面的语句中-rep 10表示以10ns为时钟周期进行循环设置,成为一个时钟信号。

                    force clk 0 0,1 5,0 10 -rep 10

通过调试仿真,得出检测信号模块的仿真波形图如图4-3,4-4。仿真完毕,运用 Leonardo Spectrum 综合工具对本模块进行综合。

4.2.3  光报警模块实现

    这个模块在调试仿真的过程中出现了不少问题,经过多次修改,才实现了模块要求的功能。在最开始设计的时候,本意也是用状态机来实现,不过在程序中只设置了一个计数器,且把计数器单独放到一个进程里实现,而在状态机进程中就没有设置辅助进程,在状态转移进程里根据计数器的取值范围了确定当前状态和下一状

 

                        图4-3 检测信号模块仿真整体波形图

 

图4-4 检测信号模块仿真部分波形图

态,从理论上来看这样是可以实现的,但是通过调试仿真,状态机的四个状态并不能按预想的那样正常运转。经过思考和分析,找出了不妥的地方:整个程序并不能说是一个状态机,因为计数器进程是单独的进程,并不属于状态机,这样,程序里状态机部分调用的计数器的值就不能使状态机正常的运转。首次调试失败后,进行了重新设计,把整个程序设计成一个摩尔状态机,这次设置了三个计数器和三个标志位,把计数器放在状态机的辅助进程里实现。程序编译成功后,又进行了仿真,虽然这次要比上次的好很多,但是功能还是没有完全的符合要求,当系统开启,解除,再开启警戒的时候,报警灯并不是先从红灯开始报警,而是随机的。这样当然不行,经过检查分析程序,在s1,s2,s3的转移条件中加上了对输入信号q1的值的判断,再进行调试仿真,完全实现了模块要求的功能。由于源程序中使用的是21位的二进制计数器,计数的值太大,仿真耗时太长,因而在程序中把计数器设置为8位的二进制计数器,这样就能比较及时的看到分析仿真结果,这样的修改不影响模块的功能实现。光报警模块的仿真波形图如图4-5,4-6。仿真完毕,通过Leonardo Spectrum 综合工具对本模块进行综合实现。

 

图4-5 光报警模块仿真整体波形图

4.2.4 声音报警及锁止模块实现

    这个模块的程序编写比较简单,在调试仿真的过程中比较顺利,其仿真波形图如图4-7。仿真完毕,通过Leonardo Spectrum 综合工具对本模块进行综合实现。

 

图4-6 光报警模块仿真部分波形图

 

图4-7 声音报警及锁止模块仿真波形图

4.3 本章小结

这一章简单介绍了调试软件Xilinx ISE集成开发环境,仿真软件ModelSim 和综合工具Leonardo Spectrum,对系统模块程序的调试步骤作了简要的说明,重点介绍了在调试过程中遇到的一些问题以及解决的方法,并给出了四个模块独立的仿真波形图。

第5章 系统顶层实现

5.1 系统顶层仿真

系统的四个模块通过编译,仿真综合完毕后,就开始进行系统顶层的调试。进行系统顶层调试前,需要先把各个模块添加到系统顶层目录下,并需要先一一的对四个模块进行编译,仿真和综合。完成准备工作,就可以开始编译系统顶层。编译的过程没有出现大的问题,但在仿真中却出现了问题,功能仿真结果与所要求实现的功能不一致,主要是没有实现光报警的功能。而各个模块单独调试的时候是完全实现了相应的功能的,可见问题出现在系统顶层的程序编写中。经过仔细的分析和多次调试,找到了问题所在。在顶层程序中,最开始设置的中间信号有问题,原来设置的为q,q1,q2,设置的中间信号与实际需求不相符,而q,q1,q2在模块的设计中已经存在,这样在顶层程序中有可能引起混乱,因而就无法实现所有的功能。之后,对设置的中间信号进行修改,只设置了一个中间信号aa,用它把模块中的q,q1,q2按相应的关系连接起来。再次进行编译仿真,仿真结果符合要求,其功能仿真波形图如图5-1,5-2所示。

 

图5-1 系统顶层仿真整体波形图

 

图5-2 系统顶层仿真部分波形图

5.2 系统顶层综合

系统顶层也使用Mentor Graphics公司 的Leonardo Spectrum综合工具进行综合。双击Synthesis-Leonardo Spectrum开始进行综合,综合的一切信息都在信息显示窗口显示。综合完毕,通过Launch Tools可以查看系统综合的一些情况。Launch Tools下包括三个选项View RTL Schematic, View Technology Schematic, View Critical Path Schematic。View RTL Schematic可以查看 系统设计的寄存器传输级原理,它可以帮助理解设计的源代码。View Technology Schematic查看综合优化后的结构视图,视图模块为FPGA/CPLD的硬件原语,帮助理解电路综合结果,分析关键路径。View Critical Path Schematic显示设计的关键路径,关键路径是最影响工作速度或时序约束的的路径。通过View RTL Schematic查看到本系统的寄存器传输级原理图如图5-3所示。

5.3 系统引脚锁定

完成了综合部分,紧接下来就是系统引脚的锁定。引脚的锁定通过约束编辑器

PACE实现,在资源管理窗选中设计的顶层模块,选择User Constraints 下的Assign

Package Pins命令,则生成一个UCF文件,此时就启动PACE进行引脚位置锁定。

 

图5-3 系统的寄存器传输级原理图

PACE主要由设计浏览窗口(Design Browser Window),设计对象列表窗口(Design Object List Window),器件结构窗口(Device Architecture Window),引脚封装窗口(Package Pins Window)和引脚封装图例窗口(Package Pins Legend Window)等部分组成。PACE约束引脚位置的基本方法有两种:第一种引脚锁定的方法是在Design Object List Window中双击信号的位置属性(Location)选项,直接指定引脚位置。第二种方法是在Design Object List Window中选定需要约束管脚位置的信号,用鼠标拖到引脚封装窗口的相应位置。系统引脚锁定情况如图5-4所示。引脚锁定完成后,保存用户约束文件,退出PACE。

5.4系统实现过程及布线后仿真

系统实现(Implement)就是将综合输出的逻辑网表翻译成所选器件的底层模块与硬件语言,将设计映射到器件结构上,进行布局布线,达到在选定器件上实现设计的目的。实现主要分为三个步骤:翻译(Translate)逻辑网表,映射(Map)到

器件单元和布局布线(Place & Route)。右键点击Implement Design,选择Properties,

可以对实现过Implement Design,实现过程的三个步骤依次进行实现,实现过程的一切信息都在程的三个步骤进行属性设置,本设计中默认软件的属性设置。双击

 

                      图5-4 系统引脚锁定图

信息显示窗口显示。

实现过程完成后,进行布局布线后仿真。将布局布线的时延信息反标到设计网表中,所进行的时序仿真就叫布局布线后仿真,简称布线后仿真。布线后仿真步骤必须进行,以确保设计功能与FPGA实际运行情况相一致。展开当前资源操作窗口的实现项目,双击布局布线项目下的Generate Post-Place & Route Simulation Model命令,产生布局布线后仿真模型。Xilinx 自动产生的仿真模型名为“top_timesim.vhd”。仿真延时信息文件名为“top_timesim.sdf”。后仿真模型文件自动调用SDF延时文件,将延时信息反标到仿真模型中。调用Modelsim进行布线后仿真,仿真结果与原结果一致,如图5-5所示。

5.5 使用iMPACT进行下载配置

iMPACT是ISE集成的配置工具,具有生成PROM格式的下载文件、向FPGA/CPLD/PROM下载配置文件、验证配置数据是否正确等功能。iMPACT支持四种下载模式:边界扫描(Boundary Scan)模式,从串(Slave Serial)模式、SelectMap模式和Desktop配置模式。边界扫描模式标准统一、设备简单,可以通过JTAG口配置FPGA/CPLD/PROM等多种器件,因而用得也最多。本设计也是采用边界扫描

模式。用iMPACT配置FPGA的过程分为两步,第一步是生成一个BIT文件,准备PROM等配置文件;第二步是下载配置文件。这两步操作步骤利用配置向导完成。

使用iMPACT生成PROM配置文件如图5-6所示。

 

图5-5 布线后功能仿真波形图

 

                图5-6 使用iMPACT生成PROM配置文件

使用iMPACT下载配置文件,选择配置连接方式Boundary-Scan Mode,接着选择Boundary-Scan连接检测方式Automatically connet to cable and identify Boundary-Scan chain, 完成后iMPACT将自动连接到下载电缆并检测Boundary-Scan连接链,显示边界扫描链的结构,同时提示将为边界扫描链中的器件配置文件。完成配制文件指定后,用鼠标选中器件,单击鼠标右键弹出命令菜单,选中Program命令,设置编程属性,确定后对PROM进行编程。

5.6 本章小结

   本章对系统设计的整个流程进行了总体介绍,对系统顶层的调试仿真过程作了详细的说明,通过仿真得到了系统的功能仿真波形图。文中把整个设计进行了综合优化,得到系统寄存器传输原理图和结构视图。系统后端的布局布线、布线后仿真以及下载配置运用Xilinx ISE实现。文中对系统后端实现也做了详细的说明,并给出了相应的一些图形。

结    论

本系统是基于可编程逻辑器件的软件设计,根据EDA设计的思路,采用了自顶向下的设计方法进行汽车防盗报警系统的设计。整个系统划分为四个模块:启动/解除警戒模块、检测信号模块、声音报警及锁止模块和光报警模块。启动/解除警戒模块通过led灯显示系统工作状态,启动警戒灯亮,解除警戒灯灭;检测信号模块采用米利型有限状态机实现,实现了实时监测系统的功能;光报警模块采用摩尔型有限状态机实现,实现了红绿黄三个led灯依次轮流闪烁进行光报警的功能,其中每个灯亮两秒;声音报警及锁止模块实现了声音报警,并具有锁住车门,锁止汽车发动机的功能。四个模块通过系统顶层连接起来,实现了汽车防盗报警的功能。程序采用Xilinx ISE进行前端设计输入、后端布局布线及配置下载;用Modelsim软件对系统进行功能仿真,得到了各个模块和整个系统的功能仿真波形图;用Leonado Spectrum进行综合,得到系统综合优化后的寄存器传输级原理图和结构视图。

本设计虽然实现了汽车防盗报警的功能,但是设计中还是有许多需要改进的地方。在声音报警方面,可以设计喇叭发出不同频率的报警声音。在对外部时钟信号的处理上,可以在系统中增加时钟分频模块先对时钟信号进行分频,这样,在计数的时候就可以设置小数值的计数器。整个汽车防盗报警系统的功能比较的简单,在功能方面还有很大改进和扩展空间,比如设置静音防盗功能,自动提示开启防盗等。静音防盗功能即是在系统正常工作状态下,若有非法入侵信号,喇叭不响,但不影响防盗功能;自动提示开启防盗功能就是在汽车停泊后一定的短时间内,系统发出提示音提醒车主开启防盗系统等等。

致    谢

首先感谢我的毕业设计的导师熊莉英老师,感谢她的督促和耐心的指导,同时也感谢在中电网论坛上帮助我的ID是“pe ngyoubieku”和“一切从零”的朋友以及帮助过我的同学们。在你们的帮助下,我才能更深刻的了解此次设计的任务目的,做出今天的成果。从你们的帮助中,我不仅学到了更多的专业知识,也学到了很多做人的道理。在此,我真心的感谢你们。

参考文献

[1] 肖军.现代汽车防盗看好智能化的发展方向[J].交通与运输,2006,2:38-39.

[2] 求是科技,张立科.CPLD/FPGA应用开发技术与工程实践[M].北京:人民邮电出版社,2005:3-21,245-280.

[3] 潘松,黄继业.EDA技术与VHDL[M].北京:清华大学出版社,2005:5-16.

[4] 齐洪喜,陆颖.VHDL电路设计使用教程[M].北京:清华大学出版社,2004:10-22.

[5] 侯伯亨,顾新.VHDL硬件描述语言与数字电路逻辑设计(修订版)[M].西安:西安电子科技大学出版社,1999:65-72.

[6] 段有艳.基于Xilinx ISE软件平台用VHDL实现FPGA电路设计[J].昆明冶金高等专科学校学报,2005,22(3)76-80 .

[7] 王诚,薛小刚,钟信潮.FPGA/CPLD设计工具——Xilinx ISE 5.X使用详解[M].北京:人民邮电出版社,2003:86-96,121-178.

[8] 吴佳凤,肖安,聂兵.基于VHDL的有限状态机设计方法与实现[J].武汉工业学院学报,2005,

25(1):12-14.

[9] 张常年.基于VHDL语言的远程拨号智能预警系统[J].计算机应用,2002,22(1):47-49.

[10] 刘桂华,马建国.基于VHDL语言的智能拨号报警器的设计[J].电子技术应用,2001,3:78-80.

[11] 张曦,李文元,丁润涛.基于CPLD防盗报警系统设计[J].电子测量技术,2004,3:20-21.

[12] 张文英,邹晴,柴燕.基于VHDL语言的数字电路设计[J].中国仪器仪表,2003,3:95-96.

[13] 付家才.EDA工程实践技术[M].北京:化学工业出版社,2005:93-132.

.The Seventh International Conference on Electronic Measurement and Instruments,2005,7:647-649.

[15] Xilinx Inc. ISE Quick Start Tutorial. Xilinx[M].Xilinx,2003:11-41.

[16] Steven Golson. State machine design techniques for Verilog and VHDL[J].Carlisle ,1994:2-22.

[17] Douglas L.Perry. VHDL Programming by Example[M].McGraw-Hill,2002:270-295.

附    录

1、启动/解除警戒模块程序源代码on_off.vhd

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity on_off is

    Port ( sta : in std_logic;

         led1 : out std_logic);

end on_off;

architecture one of on_off is

begin

process ( sta )

begin

    if sta = '1'  then

        led1 <= '1';

    else led1 <= '0';

    end if;

  end process;

end one;

2、检测信号模块程序源代码test.vhd

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity test is

    Port ( clk : in std_logic;    --频率1MHZ的时钟信号

         rst : in std_logic;        --复位信号

         a1 : in std_logic;       --汽车异常移动信号

         a2 : in std_logic;       --汽车异常振动信号

         a3 : in std_logic;       --汽车异常提升信号

         a4 : in std_logic;       --汽车车身异常倾斜信号

         b : in std_logic;       --非法启动发动机信号

         start1 : in std_logic;      --启动检测系统触发信号

         q : out std_logic);        --检测结果输出

end test;

architecture one of test is

type states is ( st1, st2 );              --st1:检测状态;st2:报警状态

signal cur_state, next_state: states;     --定义当前状态和下一状态

signal flag: std_logic; --标志位

signal cnt: std_logic_vector ( 7 downto 0 );      --定义计数,仿真用此语句

--signal cnt: std_logic_vector ( 22 downto 0 );    --实际用以下语句

begin

   process (clk, rst )                      --每个时钟上升沿,更新状态

   begin

       if rst = '1' then                   --状态机复位

       cur_state <= st1;

     elsif clk'event and clk = '1' then     --状态更新    

       cur_state <= next_state;

       end if;

   end process;

  

process ( cur_state, next_state, a1, a2, a3, a4, b, flag )

   begin

       case cur_state is

     when st1 => if ( a1 or a2 or a3 or a4 or b ) = '1'  then

                    next_state <= st2;

               else

               next_state <= st1;

          end if;

     when st2 => if flag = '1'  then 

                          if ( a1 or a2 or a3 or a4 or b ) = '1' then

                                next_state <= st2;

                      else

                                next_state <= st1;

                           end if;

                        else

                                 next_state <= st2;

              end if;

                    end case;

    end process;

process ( clk, cur_state )    

    begin

       case cur_state is

         when st1 => cnt <= "00000000"; 

                   flag <= '0';   

         when st2 => if clk'event and clk = '1' then                  

                      if cnt = "10011000" then

                            cnt <= "00000000";

                            flag <= '1';

                 else   cnt <= cnt + '1';

                            flag <= '0';

                 end if;

              end if;

       end case;

end process;

process ( clk, rst, cur_state )           --状态机输出

    begin

       if rst = '1' then

          q <= '0';

       else

          if clk'event and clk = '1' then

             if cur_state = st2 and start1 = ’1’ then

             q <= '1';  

             else 

             q <= '0';

                  end if;

           end if;

       end if;

end process;

end one;

3、光报警模块程序源代码led.vhd

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity led is

    Port ( clk : in std_logic;    --频率1MHZ的时钟信号

         rst : in std_logic;     --复位信号

         q1 : in std_logic;    --报警触发信号

          r : out std_logic;    --红灯输出

          g : out std_logic;    --绿灯输出

          y : out std_logic);   --黄灯输出

end led;

architecture one of led is

type state is ( s0, s1, s2, s3 );

signal per_state, next_state: state;           --定义当前状态和下一状态

signal cnt1, cnt2, cnt3: std_logic_vector ( 7 downto 0 ) ;   --仿真用此语句

--signal cnt1, cnt2, cnt3: std_logic_vector ( 7 downto 0 ) ;  --实际使用此语句

signal flag1, fl ag2, flag3: std_logic;

begin

  process ( rst, clk )               --每个时钟上升沿,更新状态

  begin

      if rst = '1'then                --状态机复位

       per_state <= s0;  

   elsif clk'event and clk ='1 'then   --状态更新

       per_state <= next_state;

      end if;

  end process;

  process ( per_state, next_state, q1, flag1, flag2, flag3 )  --状态转移进程

    begin

      case per_state is

     when s0 => if q1 ='1'  then

                  next_state <= s1;

              else

             next_state <= s0;

         end if;

        when s1 =>if q1 ='1'  then

                   if flag1 = '1' then

                       next_state <= s2;

                   else

                  next_state <= s1;

           end if;

          else  

next_state <= s0;

         end if;              

       when s2 => if q1 ='1'  then

                 if flag2 ='1'  then

                     next_state <= s3;

                 else

                 next_state <= s2;

                   end if;

                else   

next_state <= s0;

        end if;

       when s3 => if q1 = '1' then

                 if flag3 = '1' then

                     next_state <= s1;

                 else

                next_state <= s3;

                    end if;

                else  

next_state <= s0;

        end if;

    end case;

end process;

process ( per_state, clk )

begin

   case per_state is

        when s0 => cnt1 <= "00000000";

               flag1 <= '0';

          cnt2 <= "00000000";

               flag2 <= '0';

          cnt3 <= "00000000";

              flag3 <= '0';

     when s1 => if clk'event and clk = '1' then               

                      if cnt1 = "11110100" then

                           cnt1 <= "00000000";

                           flag1 <= '1';

                 else 

cnt1 <=  cnt1 + '1';

                           flag1 <= '0';

                end if;

          end if;

     when s2=>if clk'event and clk = '1' then              

                      if cnt2 = "11110100" then

                            cnt2 <= "00000000";

                            flag2 <= '1';

                 else  

cnt2 <= cnt2 + '1';

                            flag2 <= '0';

                 end if;

           end if;

    when s3 => if clk'event and clk = '1' then               

                      if cnt3 = "11110100" then

                           cnt3 <= "00000000";

                           flag3 <= '1';

                 else  

cnt3 <= cnt3+'1';

                           flag3 <= '0';

                 end if;

          end if;

   end case;

end process;

process ( clk, rst, per_state )   --状态输出进程

  begin

    if rst = 1' then

       r <= '0'; g <= '0'; y <= '0';

    else

       if clk'event and clk = '1' then

         if per_state = s0  then

             r <= '0'; g <= '0'; y <= '0';

      else

            if per_state = s1  then

                 r <= '1';g <= '0'; y <= '0';

            else

               if per_state = s2 then

                 r <= '0'; g <='1' ;y <= '0';

               else

           if per_state = s3  then

                       r <= '0'; g <= '0'; y <= '1';

                  else  r <= '0'; g <= '0'; y <= '0';

                  end if;

               end if;

            end if;

         end if;

       end if;

     end if;

end process;     

end one;

4、声音报警及锁止模块程序源代码sound.vhd

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity sound is

    Port ( start1: in std_logic; 

           b1 : in std_logic;      --非法启动发动机信号

           q2 : in std_logic;      --非法信号

           led_b : out std_logic;     --锁止发动机输出

           led_door : out std_logic;  --锁止车门输出

           alarm : out std_logic);  --声音报警

end sound;

architecture one of sound is

begin

processs ( b1, q2, start1 )

    begin

     if start1 = '1' then

         if b1 = '1' then 

            led_b <= '1';

         led_door <= '1';

         alarm <= '1';

     else  

         if q2 = '1' then

            led_b <= '0';

         led_door <= '1';

         alarm <= '1';

           else

         led_b <= '0';

         led_door <= '0';

         alarm <= '0'; 

          end if;

       end if;

     else   

        led_b <= '0';

     led_door <= '0';

    alarm <= '0';

     end if;

  end process;

end one;

5、系统顶层程序源代码top.vhd

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity top is

      Port ( clk : in std_logic;

           rst : in std_logic;

           a1 : in std_logic;

           a2 : in std_logic;

           a3 : in std_logic;

           a4 : in std_logic;

           b : in std_logic;

           start : in std_logic;

           led1 : out std_logic;

           r : out std_logic;

           g : out std_logic;

           y : out std_logic;

           led_door : out std_logic;

           led_b : out std_logic;

           alarm : out std_logic );

end top;

architecture one of top is

COMPONENT on_off

    Port ( sta : in std_logic;

           led1 : out std_logic);

end COMPONENT;               

COMPONENT test

    Port ( clk : in std_logic;     --频率1MHZ的时钟信号

         rst : in std_logic;      --复位信号

         a1 : in std_logic;     --汽车异常移动信号

         a2 : in std_logic;     --汽车异常振动信号

         a3 : in std_logic;     --汽车异常提升信号

         a4 : in std_logic;     --汽车车身异常倾斜信号

         b : in std_logic;     --非法启动发动机信号

         start1 : in std_logic;   --启动检测系统触发信号

         q : out std_logic );     --检测结果输出

end COMPONENT;

COMPONENT led

     Port  ( clk : in std_logic;    --频率1MHZ的时钟信号

           rst : in std_logic;     --复位信号

           q1 : in std_logic;   --报警触发信号

           r : out std_logic;       --红灯输出

           g : out std_logic;   --绿灯输出

           y : out std_logic );     --黄灯输出

end COMPONENT;

COMPONENT sound

    Port  ( start1: in std_logic; 

           b1 : in std_logic;       --非法启动发动机信号

           q2 : in std_logic;       --非法信号

           led_b : out std_logic;      --锁止发动机输出

           led_door : out std_logic;   --锁止车门输出

           alarm : out std_logic);    --声音报警

end COMPONENT;

signal  aa: std_logic;

begin

u1: on_off

PORT MAP ( sta => start,

           led1 => led1);

u2: test

PORT MAP (a1 => a1,

           a2 => a2,

        a3 => a3,

        a4 => a4,

        b => b,

        clk => clk,

        rst => rst,

        start1 => start,

        q => aa );

u3: led

PORT MAP( clk => clk,

           rst => rst,

        q1 => aa,

         r => r,

         g => g,

        y => y );

u4: sound

PORT MAP (start1 => start,

             q2 => aa,

             b1 => b,

          led_b => led_b,

          led_door => led_door,

          alarm => alarm );